Programmer Jedec Bit Map Date Extracted: Thu Jan 25 09:48:13 2007 QF296403* QP208* QV0* F0* X0* J0 0* N DEVICE XC2C512-7-PQ208* Note Block 0 * Note Block 0 ZIA * L000000 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L000088 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L000176 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L000264 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L000352 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L000440 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L000528 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L000616 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L000704 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L000792 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L000880 0011111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L000968 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L001056 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L001144 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L001232 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L001320 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L001408 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L001496 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L001584 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L001672 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L001760 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L001848 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L001936 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L002024 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L002112 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L002200 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L002288 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L002376 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L002464 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L002552 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L002640 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L002728 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L002816 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L002904 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L002992 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L003080 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L003168 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L003256 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L003344 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L003432 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 0 PLA AND array * L003520 11111111111111111111111111111111111111111111111111101111111111111111111111011111* L003600 11111111111111111111111111110111110111111111111111110111111111111111111111111111* L003680 11101111111111011111111111111111111111111111111111111111111111111111111111111111* L003760 11101111111110111111111111111011110111111111111111111111111111111111111111111111* L003840 11111111111111111111111111111111111111111111111111111111111111110111111111111111* L003920 11111111111101101111111111111111111111111111101111111111111111111111111111111111* L004000 11011111111101111111111111111111111111111111111111111111111111111111111111111111* L004080 10011111101110011111111111111111111111111111111111111111111111111111111111111111* L004160 11111111111101101111111111111111111111111101111111111111111111111111111111111111* L004240 01111111111111111111111111111111111111111111111111110111111111111111111111111111* L004320 11111111101111111111111111111111111111111111111111110111111111111111111111111111* L004400 11011111111110111111111111111111111111111111111111111111111111111111111111110111* L004480 11011111011101101110011111111111011111101111111111111111111111111111111111111111* L004560 11011111011101101111011111111111101111111111111111111101111111111111111111111111* L004640 11011111011101101111011101111111011111101111111111111111111111111111111111111111* L004720 11011111011101101110011111111111011111111111111111111111111101111111111111111111* L004800 11011111011101101111011101111111011111111111111111111111111101111111111111111111* L004880 11101110111110111111111111111111111111111111011111111011011111111111111111111111* L004960 01111110110101011111111111101111111111111111111111111111011111111111111111111111* L005040 01111110111101011111011111101111111111111111111111111111011111111111111111111111* L005120 11111111111111111111111111111111111111111110011011111011011111111111111111111111* L005200 11111111111111111111111111111111101111111111111111111101111111111111111111111111* L005280 11111111111111111101111110111111011111111111111111111111111111111111111111111111* L005360 11111111111111111111111111111111011111011111111111111111111110111111111111111111* L005440 11111111111111111111111011111111111111111111111111111111111111111111111111111111* L005520 11111111111101011111111111111111111111111111111101111111011111111111111111111111* L005600 11111111111101011111111111111111111111111111111111111111010111111111111111111111* L005680 11011111111101111111111111111111111111111111111101111101111111111111111111111111* L005760 11011111111101111111111111111111111111111111111111111101110111111111111111111111* L005840 11101111110101111111111111111111111111111110011111111111111111111111111111111111* L005920 11111011111111111111111111111111111111111111111111111111111111111111111111111111* L006000 11110111111111111111111111111110111111111111111111111111111111111111111111111111* L006080 11111111111111111111111111011101111111111111111111111111111111111111111111111111* L006160 11111111111111111111111111101111111111111111111111110111111111111110111111111111* L006240 11110111111111111111111111111111111101111111111111111111111111111111111111111111* L006320 11111111111111111111111111111111111111111111111111110111111111011111111111111111* L006400 11111111111111111111111111011101111101111111111111111111111111111111111111111111* L006480 11111111111111111111111111011110111110111111111111111111111111111111111111111111* L006560 11111111111111110111111111111111111111111111111111110111111111111111101111111111* L006640 11111011111111111011111111101111111111111111111111111111111111111111011111111111* L006720 11111111111111111111111111111111111111110111111111111111111111111111111111111111* L006800 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L006880 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L006960 11111111111111111111111111111111111111111111111111111111111111111111110111111111* L007040 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007120 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007200 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007280 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007360 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007440 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007520 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007600 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007680 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007760 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007840 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L007920 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 0 PLA OR array * L008000 0111111111111111* L008016 0111111111111111* L008032 1101111111111111* L008048 1101111111111111* L008064 1111111111111111* L008080 1111111110111111* L008096 1111111110111111* L008112 1111111110111111* L008128 1111111110111111* L008144 1111111110111111* L008160 1111111110111111* L008176 1111111101111111* L008192 1111111101111111* L008208 1111111101111111* L008224 1111111101111111* L008240 1111111101111111* L008256 1111111101111111* L008272 1111111101111111* L008288 1111111101111111* L008304 1111111101111111* L008320 1111111101111111* L008336 1111111011111111* L008352 1111111011111111* L008368 1111111011111111* L008384 1111111011111111* L008400 1111110111111111* L008416 1111110111111111* L008432 1111110111111111* L008448 1111110111111111* L008464 1111110111111111* L008480 1111110111111111* L008496 1111101111111111* L008512 1111101111111111* L008528 1111101111111111* L008544 1111011111111111* L008560 1111011111111111* L008576 1111011111111111* L008592 1111011111111110* L008608 1111111111111110* L008624 1111111111111110* L008640 1111111111111111* L008656 1111111111111111* L008672 1111111111111111* L008688 1111111111111111* L008704 1111111111111111* L008720 1111111111111111* L008736 1111111111111111* L008752 1111111111111111* L008768 1111111111111111* L008784 1111111111111111* L008800 1111111111111111* L008816 1111111111111111* L008832 1111111111111111* L008848 1111111111111111* L008864 1111111111111111* L008880 1111111111111111* Note Block 0 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L008896 00000011001001111111100110100* L008925 11101010000001111111100110100* L008954 00000011001001111111100110100* L008983 11101010000001111111100110100* L009012 0100010111000100* L009028 0100010111000111* L009044 0000000111001100* L009060 0100010111000111* L009076 0100010111000100* L009092 0100010111000111* L009108 1110110111001110* L009124 1110110111001110* L009140 11101010000001111111100110100* L009169 11101010000001111111100110100* L009198 11101010000001111111100110100* L009227 01000010001001111111110010100* Note Block 1 * Note Block 1 ZIA * L009256 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L009344 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L009432 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L009520 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L009608 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L009696 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L009784 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L009872 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L009960 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L010048 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L010136 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L010224 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L010312 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L010400 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L010488 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L010576 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L010664 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L010752 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L010840 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L010928 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011016 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011104 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011192 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011280 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011368 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011456 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011544 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011632 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011720 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011808 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011896 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L011984 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012072 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012160 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012248 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012336 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012424 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012512 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012600 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L012688 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 1 PLA AND array * L012776 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L012856 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L012936 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013016 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013096 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L013176 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013256 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013336 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013416 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013496 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013576 11111111111111111111111111111111111101111111111111111111111111111111111111111111* L013656 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013736 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013816 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013896 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L013976 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014056 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L014136 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014216 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014296 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L014376 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014456 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014536 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L014616 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014696 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014776 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L014856 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L014936 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015016 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L015096 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015176 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015256 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L015336 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015416 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015496 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L015576 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015656 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015736 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L015816 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015896 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L015976 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L016056 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016136 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016216 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L016296 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016376 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016456 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L016536 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016616 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016696 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016776 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016856 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L016936 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L017016 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L017096 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L017176 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 1 PLA OR array * L017256 1111111111111111* L017272 1111111111111111* L017288 1111111111111111* L017304 1111111111111111* L017320 1111111111111111* L017336 1111111111111111* L017352 1111111111111111* L017368 1111111111111111* L017384 1111111111111111* L017400 1111111111111111* L017416 1111111111111111* L017432 1111111111111111* L017448 1111111111111111* L017464 1111111111111111* L017480 1111111111111111* L017496 1111111111111111* L017512 1111111111111111* L017528 1111111111111111* L017544 1111111111111111* L017560 1111111111111111* L017576 1111111111111111* L017592 1111111111111111* L017608 1111111111111111* L017624 1111111111111111* L017640 1111111111111111* L017656 1111111111111111* L017672 1111111111111111* L017688 1111111111111111* L017704 1111111111111111* L017720 1111111111111111* L017736 1111111111111111* L017752 1111111111111111* L017768 1111111111111111* L017784 1111111111111111* L017800 1111111111111111* L017816 1111111111111111* L017832 1111111111111111* L017848 1111111111111111* L017864 1111111111111111* L017880 1111111111111111* L017896 1111111111111111* L017912 1111111111111111* L017928 1111111111111111* L017944 1111111111111111* L017960 1111111111111111* L017976 1111111111111111* L017992 1111111111111111* L018008 1111111111111111* L018024 1111111111111111* L018040 1111111111111111* L018056 1111111111111111* L018072 1111111111111111* L018088 1111111111111111* L018104 1111111111111111* L018120 1111111111111111* L018136 1111111111111111* Note Block 1 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L018152 01000010111111111111100010110* L018181 11101010000001111111100110100* L018210 01000010111111111111100010110* L018239 01000010111111111111100010110* L018268 0100010111000110* L018284 0100010111000110* L018300 0100010111000110* L018316 0100010111000110* L018332 0100010111000110* L018348 0100010111000110* L018364 0100010111000110* L018380 0100010111000110* L018396 01000010111111111111100010110* L018425 11101010000001111111100110100* L018454 11101010000001111111100110100* L018483 11101010000001111111100110100* Note Block 2 * Note Block 2 ZIA * L018512 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L018600 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L018688 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L018776 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L018864 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L018952 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L019040 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L019128 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L019216 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L019304 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L019392 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L019480 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L019568 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L019656 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L019744 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L019832 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L019920 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L020008 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020096 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020184 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L020272 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020360 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020448 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020536 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020624 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L020712 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020800 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020888 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L020976 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021064 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021152 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021240 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021328 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L021416 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021504 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021592 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021680 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021768 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021856 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L021944 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 2 PLA AND array * L022032 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022112 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022192 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022272 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022352 11111111111111111111111111111111111111111111111111111111111111110111111111111111* L022432 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022512 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022592 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022672 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022752 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022832 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022912 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L022992 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023072 11111111111111111111111111011111111111111111111111111111111111111111111111111111* L023152 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023232 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023312 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L023392 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023472 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023552 11111111111111111111110111111111111111111111111111111111111111111111111111111111* L023632 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023712 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023792 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L023872 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L023952 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024032 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L024112 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024192 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024272 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L024352 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024432 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024512 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L024592 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024672 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024752 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L024832 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024912 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024992 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L025072 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025152 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025232 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L025312 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025392 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025472 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L025552 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025632 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025712 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L025792 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025872 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025952 11111111111111111111111111111111111111111111111101111111111111111111111111111111* L026032 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026112 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026192 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L026272 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026352 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026432 11111111111111111111111111111111111111011111111111111111111111111111111111111111* Note Block 2 PLA OR array * L026512 1111111111111111* L026528 1111111111111111* L026544 1111111111111111* L026560 1111111111111111* L026576 1111111111111111* L026592 1111111111111111* L026608 1111111111111111* L026624 1111111111111111* L026640 1111111111111111* L026656 1111111111111111* L026672 1111111111111111* L026688 1111111111111111* L026704 1111111111111111* L026720 1111111111111111* L026736 1111111111111111* L026752 1111111111111111* L026768 1111111111111111* L026784 1111111111111111* L026800 1111111111111111* L026816 1111111111111111* L026832 1111111111111111* L026848 1111111111111111* L026864 1111111111111111* L026880 1111111111111111* L026896 1111111111111111* L026912 1111111111111111* L026928 1111111111111111* L026944 1111111111111111* L026960 1111111111111111* L026976 1111111111111111* L026992 1111111111111111* L027008 1111111111111111* L027024 1111111111111111* L027040 1111111111111111* L027056 1111111111111111* L027072 1111111111111111* L027088 1111111111111111* L027104 1111111111111111* L027120 1111111111111111* L027136 1111111111111111* L027152 1111111111111111* L027168 1111111111111111* L027184 1111111111111111* L027200 1111111111111111* L027216 1111111111111111* L027232 1111111111111111* L027248 1111111111111111* L027264 1111111111111111* L027280 1111111111111111* L027296 1111111111111111* L027312 1111111111111111* L027328 1111111111111111* L027344 1111111111111111* L027360 1111111111111111* L027376 1111111111111111* L027392 1111111111111111* Note Block 2 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L027408 11101010000001111111100110100* L027437 01000010111111111111100010110* L027466 01000010001001111111100010110* L027495 01000010111111111111100010110* L027524 01000010001001111111100010110* L027553 0100010111000110* L027569 0100010111000110* L027585 0100010111000110* L027601 0100010111000110* L027617 0100010111000110* L027633 0100010111000110* L027649 0100010111000110* L027665 01000010001001111111100010110* L027694 01000010111111111111100010110* L027723 01000010001001111111100010110* L027752 01000010001001111111100010110* Note Block 3 * Note Block 3 ZIA * L027781 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L027869 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L027957 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L028045 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L028133 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L028221 1111111111110111111101111111111111111111111101111111111111111111111111111111111111111111* L028309 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L028397 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L028485 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L028573 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L028661 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L028749 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L028837 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L028925 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L029013 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L029101 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L029189 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L029277 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L029365 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L029453 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L029541 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L029629 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L029717 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L029805 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L029893 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L029981 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L030069 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L030157 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L030245 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L030333 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L030421 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L030509 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L030597 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L030685 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L030773 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L030861 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L030949 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L031037 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L031125 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L031213 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 3 PLA AND array * L031301 11111111111111111011110110111111111111111111101111111111111111111111111111110111* L031381 11111111111111110111110101111111111111111111101111111101111111111111111111111111* L031461 11111111111111110101110110111111111111111111011111111111111111111111111111111111* L031541 11111111110111111011110101111111111111111111101111111111111111111111111111111111* L031621 11111111111111111111111111111111111111111111111111111111111111111101111111111111* L031701 11011111111111111011110110111111111111111111011111111111111111111111111111111111* L031781 11111111111111111011110101011111111111111111011111111111111111111111111111111111* L031861 11111111111111110111110110111101111111111111101111111111111111111111111111111111* L031941 11110111111111111111111111110111111111111111111111110111111101111111111111111111* L032021 11111111111111111011110110111111110111111111101111111111111111111111111111111111* L032101 11111111111111110111110101111111111101111111101111111111111111111111111111111111* L032181 11111111111111110111110110111111111111011111011111111111111111111111111111111111* L032261 11111111111111111011010101111111111111111111101111111111111111111111111111111111* L032341 11111111111111110111111101111111111111111011101111101111011011101111011111111111* L032421 11111111111111111011110110111111011111111111011111111111111111111111111111111111* L032501 11111101111111110111110110111111111111111111101111111111111111111111111111111111* L032581 11111111111111110111111110111111111111111011011111101111011011101111011111111111* L032661 11111111111111011011110101111111111111111111011111111111111111111111111111111111* L032741 11110111111111111111111111110111111111111111111111110111111111110111111111111111* L032821 11111111111111110111111101111111111111111011101111101111011011101111011111111111* L032901 11111111111110111111111111111111110111111101111101111111111111111111111111111111* L032981 11111111111111111011111110111111110111111011101111101111011011101111011110111111* L033061 11111111111111110111111101111111111111111011101111101111011011101111011111111111* L033141 11111111111111111111111111111111111011111111111111111111111111111111111101011111* L033221 11111111111110111111111111111111111111111101111101111111111111111111111111110111* L033301 11111111111111111011111110111111111111111011101111101111011011101111011101110111* L033381 11111111101111111011111110111111111111111011101111101111011011101111011111110111* L033461 11111111011111111111111111111111111111111111111111111111111111111111111110011011* L033541 11111111111111111011111110111111111111111010101111101111011011101111011111111111* L033621 11111111111111111011111110111111111111111011101110101111011011101111011111111111* L033701 11111111111101111011111110111111111111111011101111101111011011101111011111111111* L033781 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L033861 11111111111111111111111111111111111111111111111111111111111111111111111101111111* L033941 11111111111111111011111110111111111111111011011111101111011011101111011101111111* L034021 11111111111111110111111110111111111111111011011111101111011011101111011111111111* L034101 11111111111111111011111101111111011111111011101111101111011011101111011111111111* L034181 11111111011111111011111110111111111111111011011111101111011011101111011111111111* L034261 11111111111111110111111110111111111111111011011111101111011011101111011111111111* L034341 11011111111111111011111101111111111111111011101111101111011011101111011111111111* L034421 11111111111111111011111101111111111111111011101111101111011011101111011101111111* L034501 11111111111111111011011110111111111111111011011111101111011011101111011111111111* L034581 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L034661 11111111111111111011111101111111111111111011101111101111011011101111011111111111* L034741 11111111111111111011111110111111111111111011011111101111011011101111011111111111* L034821 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L034901 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L034981 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035061 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035141 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035221 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035301 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035381 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035461 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035541 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035621 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L035701 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 3 PLA OR array * L035781 1011111111111111* L035797 1011111111111111* L035813 1011111111111111* L035829 1011111111111111* L035845 1111111111111111* L035861 1011111111111111* L035877 1011111111111111* L035893 1011111111111111* L035909 1011111111111111* L035925 1110111111111111* L035941 1110111111111111* L035957 1110111111111111* L035973 1110111111111111* L035989 1111111111111111* L036005 1110111111111111* L036021 1110111111111111* L036037 1111111111111111* L036053 1110111111111111* L036069 1110111111111111* L036085 1111111111111111* L036101 1111111111110111* L036117 1111111111110111* L036133 1111111111111111* L036149 1111111111110111* L036165 1111111111101111* L036181 1111111111101111* L036197 1111111111101111* L036213 1111111111101111* L036229 1111111111011111* L036245 1111111111011111* L036261 1111111111011111* L036277 1111111110111111* L036293 1111111101111111* L036309 1111111011111111* L036325 1111111111111111* L036341 1111111011111111* L036357 1111110111111111* L036373 1111111111111111* L036389 1111110111111111* L036405 1111101111111111* L036421 1111101111111111* L036437 1101011111111111* L036453 0111111111111111* L036469 0111111111111111* L036485 1111111111111111* L036501 1111111111111111* L036517 1111111111111111* L036533 1111111111111111* L036549 1111111111111111* L036565 1111111111111111* L036581 1111111111111111* L036597 1111111111111111* L036613 1111111111111111* L036629 1111111111111111* L036645 1111111111111111* L036661 1111111111111111* Note Block 3 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L036677 00000000111111111111100110100* L036706 01000010000001100111111010100* L036735 01000010111111111111111010100* L036764 01000010000001100111111010100* L036793 0100010111110100* L036809 0000000111001100* L036825 0000000111001100* L036841 0000000111001100* L036857 0100010111110100* L036873 0100010111110100* L036889 0000000111001100* L036905 0100010111100100* L036921 01000010111111111111110010100* L036950 11101010000001111111100110100* L036979 11101010000001111111100110100* L037008 11101010000001111111100110100* Note Block 4 * Note Block 4 ZIA * L037037 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L037125 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L037213 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L037301 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L037389 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L037477 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L037565 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L037653 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L037741 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L037829 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L037917 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L038005 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L038093 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L038181 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L038269 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L038357 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L038445 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L038533 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L038621 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L038709 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L038797 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L038885 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L038973 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L039061 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L039149 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L039237 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L039325 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L039413 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L039501 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L039589 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L039677 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L039765 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L039853 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L039941 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L040029 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L040117 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L040205 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L040293 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L040381 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L040469 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 4 PLA AND array * L040557 11111111111111111111110111111111111111101111111111110111111111010111111111111111* L040637 11111111111111111111110111111111111111011111111111111011111111011111110111111111* L040717 11111111011111111111110111111111111111101111111111111011111111101111111111111111* L040797 11110111111111111111110111111111111111101111111111110111111111101111111111111111* L040877 11111111111111110111110111111111111111011111111111110111111111101111111111111111* L040957 01111111111111111111111111111111111111111111110111111111011111111111111101111111* L041037 11111111111111111111110111110111111111101111111111111011111111101111111111111111* L041117 11111111111111111111110111111101111111101111111111110111111111011111111111111111* L041197 11111101111111111111110111111111111111011111111111111011111111011111111111111111* L041277 11111111110111111111110111111111111111101111111111110111111111101111111111111111* L041357 11111111111111111111111111111111111111111111011111111111111111111111111111111111* L041437 11111111111111111111110111111111111111010111111111110111111111101111111111111111* L041517 01111111111111111111111111111111111111111111111101111111011111111111111101111111* L041597 11111111111111111111111111111111111111111111011111111111111111111111111111111111* L041677 11111111111111111111110111111111111101101111111111110111111111011111111111111111* L041757 11111111111111111111110111011111111111011111111111111011111111011111111111111111* L041837 11111111111111111111101111111111111011101111111111100101111011011111111111011111* L041917 11111111111111011111110111111111111111101111111111110111111111101111111111111111* L041997 11111111111111111101110111111111111111011111111111110111111111101111111111111111* L042077 01111111111111111111111111111111111111111111111111111111011111111111011101111111* L042157 11011111111111111111111101111111111111111101111111111111111111111110111111111111* L042237 11111111111111111111101101111111101011101111111111101001111011101111111111011111* L042317 11111111111111111111111110111111011111111111011111111111111111111111111111111111* L042397 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L042477 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L042557 11011111111111111111111111111111111111111101111111111111111111111101111111111111* L042637 11111111111111111111101101111111111011101111111111101001111011011111111111011111* L042717 11111111111111111111101110111111111011011111111111100101111011101111111111011111* L042797 01111111111111111111111111111111111111111111111111111111101111111111111101111111* L042877 01111111111111111111111111111111111011111111111111101111011011111111111101111111* L042957 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043037 11101111111111111111111111111111111111111110111111111111111111111110111111111111* L043117 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043197 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043277 11111111111111111111111111111111111011111111111111101111111011111111111111111111* L043357 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043437 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043517 11111111111111111111111110111111111111111111111111111111111111111111111111111111* L043597 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043677 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043757 11111111111111111111101111111111111011011111111111101001111011011111111111011111* L043837 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043917 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043997 11111111111111111111101111111111111011101111111111100101111011011111111111011111* L044077 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044157 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044237 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044317 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044397 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044477 11111111111111111111101111111111111011011111111111101001111011011111111111011111* L044557 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044637 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044717 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L044797 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044877 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044957 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 4 PLA OR array * L045037 0111111111111111* L045053 0111111111111111* L045069 0111111111111111* L045085 0111111111111111* L045101 0111111111111111* L045117 0111111111111111* L045133 1011111111111111* L045149 1011111111111111* L045165 1011111111111111* L045181 1011111111111111* L045197 1111111111111111* L045213 1011111111111111* L045229 1011111111111111* L045245 1111111111111111* L045261 1101111111111111* L045277 1101111111111111* L045293 1111111111111111* L045309 1101111111111111* L045325 1101111111111111* L045341 1101111111111111* L045357 1111111111111110* L045373 1111111111111110* L045389 1111111111111110* L045405 1111111111111011* L045421 1111111111001111* L045437 1111111111111111* L045453 1111111110111111* L045469 1111111110111111* L045485 1111111111111111* L045501 1111111101111111* L045517 1111111111111111* L045533 1111111111111111* L045549 1111111111111111* L045565 1111111111111111* L045581 1111111111111111* L045597 1111111111111111* L045613 1111111111111111* L045629 1111111111111111* L045645 1111111111111111* L045661 1111111111111111* L045677 1111111111111111* L045693 1111111111111111* L045709 1111111111111111* L045725 1111111111111111* L045741 1111111111111111* L045757 1111111111111111* L045773 1111111111111111* L045789 1111111111111111* L045805 1111111111111111* L045821 1111111111111111* L045837 1111111111111111* L045853 1111111111111111* L045869 1111111111111111* L045885 1111111111111111* L045901 1111111111111111* L045917 1111111111111111* Note Block 4 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L045933 01000010000001100111111010100* L045962 01000010000001100111111010100* L045991 01000010000001100111111010100* L046020 00000011111111111110100110100* L046049 00000011001001111110100110100* L046078 0000000111001110* L046094 0000000111001110* L046110 0000000111001110* L046126 0000000111001110* L046142 0000000111001110* L046158 0100010111110100* L046174 0100010111110100* L046190 00000011001001111110100110100* L046219 01000010111111111111111010100* L046248 01000010001001111111100010110* L046277 01000010111111111111110010100* Note Block 5 * Note Block 5 ZIA * L046306 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L046394 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L046482 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L046570 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L046658 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L046746 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L046834 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L046922 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L047010 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L047098 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L047186 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L047274 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L047362 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L047450 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L047538 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L047626 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L047714 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L047802 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L047890 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L047978 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L048066 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L048154 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L048242 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L048330 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L048418 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L048506 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L048594 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L048682 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L048770 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L048858 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L048946 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L049034 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L049122 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L049210 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L049298 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L049386 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L049474 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L049562 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L049650 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L049738 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 5 PLA AND array * L049826 01101111111111111111110101111111111111111111111111111111111111111111111111110111* L049906 11101111111101111111110110111111111111111111111111111111111111111111111111111011* L049986 11011111111111111111110110111111011111111111111111111111111111111111111111110111* L050066 11101111111111011111110101111111111111111111111111111111111111111111111111111011* L050146 11011111011111111111110101111111111111111111111111111111111111111111111111111011* L050226 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L050306 11110111111111111111111111111101110111111111111111111111111111111111011111111111* L050386 11101111111111111111110101111111111111011111111111111111111111111111111111110111* L050466 11011111111111111111110110111111111111111111110111111111111111111111111111110111* L050546 11101101111111111111110101111111111111111111111111111111111111111111111111111011* L050626 11101111111111111111101101011111111111111110111111101110111111111111111111010111* L050706 11011111111111111111110101111111111111110111111111111111111111111111111111111011* L050786 11110111111111111111111111111111110111111111111111111111110111111111011111111111* L050866 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L050946 11101111111111111111110101111111111101111111111111111111111111111111111111110111* L051026 11011111111111111111110110111111111111111111111101111111111111111111111111110111* L051106 11101111111111111111101101011111111111111110111111101110111111111111111111010111* L051186 11101111110111111111110101111111111111111111111111111111111111111111111111111011* L051266 11011111111111111111110101111111111111111111111111111111111111110111111111111011* L051346 11110111111111111111111111111111110111111111111111110111111111111111011111111111* L051426 11111111111111111111111111111111111111111111011111111111111111111111111111111111* L051506 11111111111111111111111111111111111111111111111111111111111111111111111101111111* L051586 11011111111111111111101101011111111111111110111111101110111111111111111110011011* L051666 11111111101111111101111111111111111111111111111111111111111111111111111111111111* L051746 11011111111111111111101101011111111111111110111111101110111111111111111011011011* L051826 11111111111111111101111111111111111111111011111111111111111111111111111111111111* L051906 11011111111111111111101101011111111111111110111111101110111111101111111111011011* L051986 11111111111111111101111111111111111111111111111111111111111111111011111111111111* L052066 11011111111111111111101110011111111111111110111111101110111111111111111111010111* L052146 11011111111111111111101101011111111111111110101111101110111111111111111111011011* L052226 11111111111111111101111111111111111111111111111111111111101111111111111111111111* L052306 11011111111111111111101110011111111111111110111111101110111111111111111111010111* L052386 11111111111111111111111111111111111111111111111111111111111111111111110111111111* L052466 11111111111111111111111111111111111111111111111111111111111111011111111111111111* L052546 11101111111111111111101101011111111111111110111111101110111111011111111111011011* L052626 11011111110111111111101110011111111111111110111111101110111111111111111111011011* L052706 11101111111111111111101101011111111111111110111111101110111111111111111101011011* L052786 11011111111111011111101110011111111111111110111111101110111111111111111111011011* L052866 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052946 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053026 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053106 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053186 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053266 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053346 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053426 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053506 11011111111111111111101110011111111111111110111111101110111111111111111111010111* L053586 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053666 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053746 11101111111111111111101101011111111111111110111111101110111111111111111111010111* L053826 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053906 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053986 11011111111111111111101110011111111111111110111111101110111111111111111111010111* L054066 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L054146 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L054226 11101111111111111111101101011111111111111110111111101110111111111111111111010111* Note Block 5 PLA OR array * L054306 1011111111111111* L054322 1011111111111111* L054338 1011111111111111* L054354 1011111111111111* L054370 1011111111111111* L054386 1111111111111111* L054402 1011111111111111* L054418 0111111111111111* L054434 0111111111111111* L054450 0111111111111111* L054466 1111111111111111* L054482 0111111111111111* L054498 0111111111111111* L054514 1111111111111111* L054530 1101111111111111* L054546 1101111111111111* L054562 1111111111111111* L054578 1101111111111111* L054594 1101111111111111* L054610 1101111111111111* L054626 1111111111111100* L054642 1111111111110011* L054658 1111111111101111* L054674 1111111111101111* L054690 1111111111011111* L054706 1111111111011111* L054722 1111111110111111* L054738 1111111110111111* L054754 1111111111111111* L054770 1111111101111111* L054786 1111111101111111* L054802 1111111111111111* L054818 1111111011111111* L054834 1111110111111111* L054850 1111101111111111* L054866 1111101111111111* L054882 1111011111111111* L054898 1111011111111111* L054914 1111111111111111* L054930 1111111111111111* L054946 1111111111111111* L054962 1111111111111111* L054978 1111111111111111* L054994 1111111111111111* L055010 1111111111111111* L055026 1111111111111111* L055042 1111111111111111* L055058 1111111111111111* L055074 1111111111111111* L055090 1111111111111111* L055106 1111111111111111* L055122 1111111111111111* L055138 1111111111111111* L055154 1111111111111111* L055170 1111111111111111* L055186 1111111111111111* Note Block 5 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L055202 01000010000001100111111010100* L055231 01000010000001100111111010100* L055260 01000010000001100111111010100* L055289 00000011111111111110100110100* L055318 0000000111001100* L055334 0000000111001100* L055350 0100010111110100* L055366 0100010111110100* L055382 0100010111001011* L055398 0100010111001011* L055414 0100010111001011* L055430 0100010111001011* L055446 01000010111111111111111010100* L055475 01000010111111111111111010100* L055504 01000010111111111111111010100* L055533 01000010111111111111111010100* Note Block 6 * Note Block 6 ZIA * L055562 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L055650 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L055738 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L055826 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L055914 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L056002 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L056090 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L056178 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L056266 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L056354 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L056442 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L056530 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L056618 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L056706 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L056794 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L056882 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L056970 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L057058 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L057146 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L057234 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L057322 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L057410 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L057498 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L057586 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L057674 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L057762 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L057850 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L057938 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L058026 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L058114 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L058202 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L058290 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L058378 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L058466 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L058554 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L058642 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L058730 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L058818 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L058906 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L058994 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* Note Block 6 PLA AND array * L059082 11111111111111111011111111111111111111111111101101111111110111111111111111111011* L059162 11111111111111110111111111111111111111110111101111111111110111111111111111110111* L059242 11111111111111110111111111111111111111111111011111111111110111111101111111111011* L059322 11111111111111111011111111111111111111111101101111111111110111111111111111111011* L059402 11111111111111111111111111111111111111111111111111111111111111110111111111111111* L059482 11111101111111111011111111111111111111111111101111111111110111111111111111110111* L059562 11011111111111111011111111111111111111111111011111111111110111111111111111110111* L059642 11111111111111111111111111011111111111111111111111110111111111111111011101111111* L059722 11111111111111110111011111111111111111111111101111111111110111111111111111110111* L059802 11111111111111110111110111111111111111111111011111111111110111111111111111111011* L059882 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L059962 11111111111111111011111111111111111111011111101111111111110111111111111111110111* L060042 11111111111111111011111111111111111111111111010111111111110111111111111111110111* L060122 11111011111111100111111111111110101111111111011111111101111111111111111111011011* L060202 11111111111111111111111111111111111111111111111111010111111111111111011101111111* L060282 11111111111111110101111111111111111111111111101111111111110111111111111111110111* L060362 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L060442 11111111111111110111111101111111111111111111011111111111110111111111111111111011* L060522 11111111111101111011111111111111111111111111101111111111110111111111111111110111* L060602 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L060682 01111111111111111011111111111111111111111111011111111111110111111111111111110111* L060762 11111111011111111111111111111111111111111111111111110111111111111111011101111111* L060842 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L060922 11111111111111111111111111111111111111111111111111111111111111011111111111111111* L061002 11111111111111111111111111111111111111111111111111111111011111111111111111111111* L061082 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L061162 11111111111111111111111111111111111101111111111111111111111111111111111111111111* L061242 11111111111111111111111111111111111111111111111111111111111111111111111111111101* L061322 11111011111111100111111111111110101111111111011111111101111111111111111111011011* L061402 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L061482 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L061562 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L061642 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L061722 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L061802 11111011111111100111111111111110101111111111011111111101111111111111111111011011* L061882 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L061962 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062042 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L062122 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062202 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062282 11111011111111100111111111111110101111111111011111111101111111111111111111011011* L062362 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062442 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062522 11111011111111100111111111111110101111111111101111111101111111111111111111010111* L062602 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062682 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062762 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062842 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L062922 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063002 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063082 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063162 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063242 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063322 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063402 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L063482 11111011111111100111111111111110101111111111011111111101111111111111111111011011* Note Block 6 PLA OR array * L063562 1110111111111111* L063578 1110111111111111* L063594 1110111111111111* L063610 1110111111111111* L063626 1111111111111111* L063642 1110111111111111* L063658 1110111111111111* L063674 1110111111111111* L063690 0111111111111111* L063706 0111111111111111* L063722 1111111111111111* L063738 0111111111111111* L063754 0111111111111111* L063770 1111111111111111* L063786 0111111111111111* L063802 1101111111111111* L063818 1111111111111111* L063834 1101111111111111* L063850 1101111111111111* L063866 1111111111111111* L063882 1101111111111111* L063898 1101111111111111* L063914 1111111111001111* L063930 1111111100111111* L063946 1111110011111111* L063962 1111111111111111* L063978 1011101111111111* L063994 1111111111111110* L064010 1111111111111111* L064026 1111111111111111* L064042 1111111111111111* L064058 1111111111111111* L064074 1111111111111111* L064090 1111111111111111* L064106 1111111111111111* L064122 1111111111111111* L064138 1111111111111111* L064154 1111111111111111* L064170 1111111111111111* L064186 1111111111111111* L064202 1111111111111111* L064218 1111111111111111* L064234 1111111111111111* L064250 1111111111111111* L064266 1111111111111111* L064282 1111111111111111* L064298 1111111111111111* L064314 1111111111111111* L064330 1111111111111111* L064346 1111111111111111* L064362 1111111111111111* L064378 1111111111111111* L064394 1111111111111111* L064410 1111111111111111* L064426 1111111111111111* L064442 1111111111111111* Note Block 6 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L064458 01000010000001100111111010100* L064487 01000010111111111111111010100* L064516 01000010000001100111111010100* L064545 01000010000001100111111010100* L064574 11101010000001111111100110100* L064603 0100010111110100* L064619 0100010111110100* L064635 0100010111110100* L064651 0100010111110100* L064667 0100010111110100* L064683 0100010111110100* L064699 0100010111110100* L064715 00000011001001111110100110100* L064744 00000011001001111110100110100* L064773 00000011001001111110100110100* L064802 01000010001001111111111010100* Note Block 7 * Note Block 7 ZIA * L064831 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L064919 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L065007 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L065095 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L065183 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L065271 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L065359 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L065447 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L065535 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L065623 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L065711 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L065799 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L065887 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L065975 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L066063 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L066151 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L066239 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L066327 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L066415 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L066503 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L066591 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L066679 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L066767 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L066855 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L066943 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L067031 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L067119 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L067207 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L067295 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L067383 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L067471 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L067559 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L067647 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L067735 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L067823 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L067911 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L067999 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L068087 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L068175 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L068263 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* Note Block 7 PLA AND array * L068351 11111111111101111111111111111110111111111111101111111111110111111111111111111011* L068431 11011111111111111111111111111101111111111111101111111111110111111111111111110111* L068511 11111111111111111111111111111101011111111111011111111111110111111111111111111011* L068591 11111111111111111111111111111110110111111111101111111111110111111111111111110111* L068671 11111111011111111111111111111110111111111111011111111111110111111111111111110111* L068751 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L068831 11111101111111111111111111111111111111111111111111110101011111111111111111111111* L068911 11111111111111111111110111111101111111111111101111111111110111111111111111110111* L068991 11111111111111111101111111111110111111111111101111111111110111111111111111111011* L069071 01111111111111111111111111111101111111111111011111111111110111111111111111111011* L069151 11110111111111111111111111111110111111111111101111111111110111111111111111110111* L069231 11111111111111111111011111111110111111111111011111111111110111111111111111110111* L069311 11111111111111111111111111111111111111111111111111110101011111111111110111111111* L069391 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L069471 11111111111111111111111101111101111111111111101111111111110111111111111111110111* L069551 11111111111111111111111111111110111111011111101111111111110111111111111111111011* L069631 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L069711 11111111111111111111111111111101111111111111011111111111110111111111111101111011* L069791 11111111111111111111111111111110111111111111101111111111110111111111111111010111* L069871 11111111110111111111111111111110111111111111011111111111110111111111111111110111* L069951 11111111111111111111111111111111111111111111111111110101011101111111111111111111* L070031 11111111111111111111111111111111111111111111110111111111111111111111111111111111* L070111 11111111111111111111111111111111111111111111111111111111111111011111111111111111* L070191 11111111111111111111111111111110111101111010011011101111111111111111011111110110* L070271 11111111111111101111111111011111111111111111111111111111111111111111111111111111* L070351 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070431 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070511 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070591 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070671 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070751 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070831 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070911 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L070991 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071071 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071151 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071231 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071311 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071391 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071471 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071551 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071631 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071711 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071791 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071871 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L071951 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072031 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072111 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072191 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072271 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L072351 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072431 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072511 11111111111111111111111111111101111101111010011111101111111111111111011111111010* L072591 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072671 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L072751 11111111111111111111111111111101111101111010011111101111111111111111011111111010* Note Block 7 PLA OR array * L072831 1011111111111111* L072847 1011111111111111* L072863 1011111111111111* L072879 1011111111111111* L072895 1011111111111111* L072911 1111111111111111* L072927 1011111111111111* L072943 1101111111111111* L072959 1101111111111111* L072975 1101111111111111* L072991 1101111111111111* L073007 1101111111111111* L073023 1101111111111111* L073039 1111111111111111* L073055 1111111111111011* L073071 1111111111111011* L073087 1111111111111111* L073103 1111111111111011* L073119 1111111111111011* L073135 1111111111111011* L073151 1111111111111011* L073167 1111111111111110* L073183 1111111111111101* L073199 1111111111110111* L073215 1111111111110111* L073231 1111111111111111* L073247 1111111111111111* L073263 1111111111111111* L073279 1111111111111111* L073295 1111111111111111* L073311 1111111111111111* L073327 1111111111111111* L073343 1111111111111111* L073359 1111111111111111* L073375 1111111111111111* L073391 1111111111111111* L073407 1111111111111111* L073423 1111111111111111* L073439 1111111111111111* L073455 1111111111111111* L073471 1111111111111111* L073487 1111111111111111* L073503 1111111111111111* L073519 1111111111111111* L073535 1111111111111111* L073551 1111111111111111* L073567 1111111111111111* L073583 1111111111111111* L073599 1111111111111111* L073615 1111111111111111* L073631 1111111111111111* L073647 1111111111111111* L073663 1111111111111111* L073679 1111111111111111* L073695 1111111111111111* L073711 1111111111111111* Note Block 7 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L073727 00000011111111111110100110100* L073756 01000010000001100111111010100* L073785 01000010000001100111111010100* L073814 00000011111111111110100110100* L073843 0000011110001100* L073859 0000011110001100* L073875 0000011110001100* L073891 0000011110001100* L073907 0000011110001100* L073923 0000011110001100* L073939 0000011110001100* L073955 0000011110001100* L073971 01000010111111111111100100111* L074000 01000010000001100111111010100* L074029 01000010111111111111111010100* L074058 01000010111111111111111010100* Note Block 8 * Note Block 8 ZIA * L074087 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L074175 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L074263 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L074351 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L074439 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L074527 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L074615 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L074703 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L074791 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L074879 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L074967 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L075055 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075143 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075231 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075319 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075407 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L075495 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075583 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L075671 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L075759 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L075847 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L075935 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L076023 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076111 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076199 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076287 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076375 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076463 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L076551 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076639 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L076727 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076815 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076903 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L076991 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077079 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077167 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077255 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077343 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077431 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L077519 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 8 PLA AND array * L077607 11111111111101111111111111111111111111011111111111111111111111111111111111111111* L077687 11111111111110111111111111111111111111101111111111111111111111111111111111111111* L077767 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L077847 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L077927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078007 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078087 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078167 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078247 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078327 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078407 11111111111111111111111111111111111111111101111111111111111111111111111111111111* L078487 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078567 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078647 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L078727 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078807 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L078887 10111111111111111110111111111111111111111111111111111111111111111111111111111111* L078967 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079047 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079127 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L079207 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079287 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079367 11111111111111111111111111111101111111111111111111111111111111111111111111111111* L079447 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079527 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079607 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L079687 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079767 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L079847 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L079927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080007 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080087 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L080167 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080247 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080327 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L080407 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080487 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080567 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080647 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080727 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080807 11111111111111111111111111111111111111011111111111111111111111111111111111111111* L080887 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L080967 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081047 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L081127 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081207 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081287 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L081367 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081447 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081527 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L081607 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081687 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081767 11111111111111111111111111111111111111111111111111111111110111111111111111111111* L081847 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L081927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L082007 11111111111111111111111111111111111101111111111111111111111111111111111111111111* Note Block 8 PLA OR array * L082087 1111111110111111* L082103 1111111110111111* L082119 1111111111111111* L082135 1111111111111111* L082151 1111111111111111* L082167 1111111111111111* L082183 1111111111111111* L082199 1111111111111111* L082215 1111111111111111* L082231 1111111111111111* L082247 1111111111111111* L082263 1111111111111111* L082279 1111111111111111* L082295 1111111111111111* L082311 1111111111111111* L082327 1111111111111111* L082343 1111111111111111* L082359 1111111111111111* L082375 1111111111111111* L082391 1111111111111111* L082407 1111111111111111* L082423 1111111111111111* L082439 1111111111111111* L082455 1111111111111111* L082471 1111111111111111* L082487 1111111111111111* L082503 1111111111111111* L082519 1111111111111111* L082535 1111111111111111* L082551 1111111111111111* L082567 1111111111111111* L082583 1111111111111111* L082599 1111111111111111* L082615 1111111111111111* L082631 1111111111111111* L082647 1111111111111111* L082663 1111111111111111* L082679 1111111111111111* L082695 1111111111111111* L082711 1111111111111111* L082727 1111111111111111* L082743 1111111111111111* L082759 1111111111111111* L082775 1111111111111111* L082791 1111111111111111* L082807 1111111111111111* L082823 1111111111111111* L082839 1111111111111111* L082855 1111111111111111* L082871 1111111111111111* L082887 1111111111111111* L082903 1111111111111111* L082919 1111111111111111* L082935 1111111111111111* L082951 1111111111111111* L082967 1111111111111111* Note Block 8 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L082983 01000010111111111111100010110* L083012 01000010001001111111100010110* L083041 01000000000101111111100010110* L083070 01000010001001111111100010110* L083099 0100010111000110* L083115 0100010111000110* L083131 0100010111000110* L083147 0100010111000110* L083163 0100010111000110* L083179 0100010111000100* L083195 0100010111000110* L083211 0100010111000110* L083227 01000010111111111111100010110* L083256 01000010001001111111100010110* L083285 01000010111111111111100010110* L083314 01000010001001111111100010110* Note Block 9 * Note Block 9 ZIA * L083343 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L083431 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L083519 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L083607 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L083695 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L083783 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L083871 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L083959 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L084047 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L084135 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L084223 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L084311 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L084399 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L084487 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L084575 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L084663 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L084751 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L084839 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L084927 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L085015 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L085103 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L085191 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L085279 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L085367 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L085455 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L085543 0111111110111111111111111111111111111111111101111111111111111111111111111111111111111111* L085631 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L085719 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L085807 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L085895 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L085983 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L086071 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L086159 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L086247 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L086335 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L086423 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L086511 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L086599 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L086687 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L086775 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* Note Block 9 PLA AND array * L086863 11111011110101111111011111111111111111111111011111111111111010110101111110111111* L086943 11111011111101111111011111111111111111111111011011111111111010110101111110111111* L087023 11111111110101111111011111111111111111111111010111111111111110110101111110111111* L087103 11111111111111111111111111111111111011111111111111111111111111111111111111111111* L087183 11111111110101111111011111111111111111111111010111111111111110110101111110110111* L087263 11111111111111111111111111111111111111111111111111111111111111111111111111110111* L087343 11111111111111111111111111111111110111111111111110101111111111111111111111111111* L087423 11011111111111111111111111111111111111111110111111111111111111111111111111111111* L087503 11111111111111111111111111111111111111111111111111111111111111111111111111111011* L087583 11111111111111111111111111111111111011101111111111101111111111111111111111111111* L087663 11111011111101111111011111111111111111111111011011111111111010110101111110110111* L087743 11111111111111111111111111011111111111111111111111101111111111111111111111111111* L087823 11111111111111101111111111111111111111101111111111011111111111111111111111111111* L087903 01111101111111111111111111111111111111111111111111111111111111111111111111111111* L087983 11111111111111101111111101111111110111111111111111111111111111111111111111111111* L088063 11111111111111111111111111011111111111101111111111011111111111111111111111111111* L088143 11111111111111011111111110101011111111111111111111111111111111111111111111111111* L088223 11111111101111111111111111111111110101111111111111111111111111111111111111111111* L088303 11111111111111111110111111011110111111111111111111111111111111111111101111111111* L088383 11111111011111111111111111101011111110111111111111111111111111111111111111111111* L088463 11111111111111111111111011111111110111111111111111111101111111111111111111111111* L088543 11111111101111111110111111011110111111111111111111111111111111111111101111111111* L088623 11111111111111111111110111101011111111111111111111111110111111111111111111111111* L088703 11111111111111111111111111111111110111111011111111110111111111111111111111111111* L088783 11111111101111111110111011011110111111111111111111111111111111111111101111111111* L088863 11111111111111111111111111101011111111110111111111111011111111111111111111111111* L088943 11111111111111111111111111111111010111111111111111111111111111111111111111101111* L089023 11111111101111111110111011011110111111111011111111111111111111111111101111111111* L089103 11111111111111111111111111101011101111111111111111111111111111111111111111011111* L089183 11111111111111111011111111111111110111111111111111111111111111111111111111111101* L089263 11111111101111111110111011011110111111111011111111111111111111111111101111101111* L089343 11111111111111110111111111101011111111111111111111111111111111111111111111111110* L089423 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089503 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089583 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089663 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089743 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089823 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L089903 11111111111111111111111111111111111111111111111111111111111111111111111111111011* L089983 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090063 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090143 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090223 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090303 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090383 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090463 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090543 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090623 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090703 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090783 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090863 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L090943 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L091023 11111111110101111111011111111111111111111111010111111111101110110101111110111111* L091103 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L091183 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L091263 11111011111101111111011111111111111111111111011011111111101010110101111110111111* Note Block 9 PLA OR array * L091343 1111111111101111* L091359 1111111111101111* L091375 1111111110111111* L091391 1111111110111111* L091407 1111111111111111* L091423 1111111111111111* L091439 1111111101111111* L091455 1111111111111111* L091471 1111111111111111* L091487 1111111101111111* L091503 1111111111111111* L091519 1111111101111111* L091535 1111111011111111* L091551 1111111111111111* L091567 1111111011111111* L091583 1111111011111111* L091599 1111111011111111* L091615 1111110111111111* L091631 1111110111111111* L091647 1111110111111111* L091663 1111101111111111* L091679 1111101111111111* L091695 1111101111111111* L091711 1111011111111111* L091727 1111011111111111* L091743 1111011111111111* L091759 1111111111111011* L091775 1111111111111011* L091791 1111111111111011* L091807 1111111111110111* L091823 1111111111110111* L091839 1111111111110111* L091855 1111111111111111* L091871 1111111111111111* L091887 1111111111111111* L091903 1111111111111111* L091919 1111111111111111* L091935 1111111111111111* L091951 1111111111111111* L091967 1111111111111111* L091983 1111111111111111* L091999 1111111111111111* L092015 1111111111111111* L092031 1111111111111111* L092047 1111111111111111* L092063 1111111111111111* L092079 1111111111111111* L092095 1111111111111111* L092111 1111111111111111* L092127 1111111111111111* L092143 1111111111111111* L092159 1111111111111111* L092175 1111111111111111* L092191 1111111111111111* L092207 1111111111111111* L092223 1111111111111111* Note Block 9 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L092239 01100010001110000111000000011* L092268 01000011000101000111100010110* L092297 00000011001001111110100110100* L092326 01000010000001111111100010100* L092355 0100010111100100* L092371 0100010111100100* L092387 0100010111100100* L092403 0100010111100100* L092419 0100010111000111* L092435 0000000111001100* L092451 1110010111000011* L092467 0000000111001100* L092483 01000010001001111111110010100* L092512 01000010111111111111110010100* L092541 00000010111111111111100100110* L092570 00000010111111111111100100110* Note Block 10 * Note Block 10 ZIA * L092599 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L092687 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L092775 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L092863 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L092951 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L093039 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L093127 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L093215 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L093303 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L093391 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L093479 0011111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L093567 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L093655 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L093743 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L093831 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L093919 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L094007 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L094095 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L094183 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L094271 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L094359 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L094447 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L094535 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L094623 1111111111111111111111111111111111111111111101111111111111111111100111111111111111111111* L094711 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L094799 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L094887 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L094975 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L095063 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L095151 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L095239 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L095327 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L095415 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L095503 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L095591 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L095679 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L095767 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L095855 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L095943 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L096031 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 10 PLA AND array * L096119 11011111111111111111111111111111111111111111111111111111111011111110011111111011* L096199 11101111111111111111111111111111111111111111101111111111111111111110011111111111* L096279 10101111110110111011111110101110101110111010011110111110101110101101101110101111* L096359 11101110111011111111101111111111111111111111111111111111110111111101011111111111* L096439 11111111111111111111111111111111111111111111111111110111111011111111111111110111* L096519 11111111111111111111111111111111111111111111111111111111111111111111011111111111* L096599 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L096679 10111111110110111011111110101110101110111010011110111110101110101111111110101111* L096759 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L096839 11111111111111111111110111111111111111111111111111111111111111111111111111111111* L096919 11111111111111111111111111111111111111111111111111101111111111111111111101111111* L096999 11111111111111111111111111111111111111111111111111011111111111111111111110111111* L097079 11111111101111111111111111111111111111111111111111111111111111011111111111111111* L097159 11111111011111111111111111111111111111111111111111111111111111101111111111111111* L097239 11111111111111101111111111111111111111111111111111111111111111111111111111011111* L097319 11111111111111011111111111111111111111111111111111111111111111111111111111101111* L097399 11111111111111111111111111111111111011111111111111111101111111111111111111111111* L097479 11111111111111111111111111111111110111111111111111111110111111111111111111111111* L097559 11111111111111111110111111111111111111110111111111111111111111111111111111111111* L097639 11111111111111111101111111111111111111111011111111111111111111111111111111111111* L097719 11111111111111111111111111111101111111101111111111111111111111111111111111111111* L097799 11111111111111111111111111111110111111011111111111111111111111111111111111111111* L097879 11111111111111111101111111110111110111111111111111011111111111110111111111111111* L097959 11111111111111111111111111111011111111011111111111111111111111110111111111111111* L098039 11111111111111111111111111110111110111111111111111011111111111110111111111111111* L098119 11111111111111111101111111111011111111111111111111111111111111110111111111111111* L098199 11111111111111111111111111110111110111111111111111101111111111111111111111111111* L098279 11111111111111111111111111110111111011111111111111011111111111110111111111111111* L098359 11111111111111111111111111111111110111111111111111111111111111111011111111111111* L098439 11111111111111111111111111111011111111111111111111101111111111111111111111111111* L098519 11111111111111111111111111111111111111111111111111111111111111111011111111111111* L098599 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L098679 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L098759 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L098839 11111111111111111111111111111111111111011111111111111111111111111111111111111111* L098919 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L098999 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099079 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099159 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099239 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099319 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099399 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099479 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099559 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099639 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099719 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099799 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099879 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L099959 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L100039 11011111111111111111111111111111111111111111111111111111111111111101101111111111* L100119 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L100199 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L100279 11111111111111111111111111111111111111111111110111111111111111111111111111111111* L100359 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L100439 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L100519 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 10 PLA OR array * L100599 1111111111101111* L100615 1111111111101111* L100631 1111111111101111* L100647 1111111111101111* L100663 1111111111101111* L100679 1111111111011111* L100695 1111111111011111* L100711 1111111111011111* L100727 1111111110111111* L100743 1111111110111111* L100759 1111111110111111* L100775 1111111110111111* L100791 1111111110111111* L100807 1111111110111111* L100823 1111111110111111* L100839 1111111110111111* L100855 1111111110111111* L100871 1111111110111111* L100887 1111111110111111* L100903 1111111110111111* L100919 1111111110111111* L100935 1111111110111111* L100951 1111111101111111* L100967 1111111101111111* L100983 1111111011111111* L100999 1111111011111111* L101015 1111110111111111* L101031 1111110111111111* L101047 1111110111111111* L101063 1111101111111111* L101079 1111101111111111* L101095 1111111111111111* L101111 1111111111111111* L101127 1111111111111111* L101143 1111111111111111* L101159 1111111111111111* L101175 1111111111111111* L101191 1111111111111111* L101207 1111111111111111* L101223 1111111111111111* L101239 1111111111111111* L101255 1111111111111111* L101271 1111111111111111* L101287 1111111111111111* L101303 1111111111111111* L101319 1111111111111111* L101335 1111111111111111* L101351 1111111111111111* L101367 1111111111111111* L101383 1111111111111111* L101399 1111111111111111* L101415 1111111111111111* L101431 1111111111111111* L101447 1111111111111111* L101463 1111111111111111* L101479 1111111111111111* Note Block 10 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L101495 00000011111111111110100110100* L101524 00000011111111111110100110100* L101553 00000011001001111110100110100* L101582 00000011111111111110100110100* L101611 0000011110001100* L101627 0100010111100111* L101643 0100010111000100* L101659 0100010111000110* L101675 0100010111000110* L101691 0000000111001100* L101707 0000000111001100* L101723 0100010111100100* L101739 00000011111111111110100110100* L101768 00000000001110000111100110010* L101797 00000011001110000111100110010* L101826 00000011111111111110100110100* Note Block 11 * Note Block 11 ZIA * L101855 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L101943 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L102031 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L102119 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L102207 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L102295 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L102383 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L102471 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L102559 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L102647 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L102735 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L102823 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L102911 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L102999 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L103087 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L103175 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L103263 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L103351 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L103439 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L103527 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L103615 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L103703 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L103791 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L103879 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L103967 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L104055 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L104143 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L104231 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L104319 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L104407 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L104495 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L104583 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L104671 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L104759 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L104847 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L104935 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L105023 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L105111 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L105199 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L105287 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* Note Block 11 PLA AND array * L105375 11011111111111111111111111111111111111111111111101111011111111111111111110111011* L105455 11011111111111111111111111111111011111111111111111110111111111111111111110110111* L105535 11011111111111111111111111111111111111111111111111111001111111111111111101110111* L105615 11011111111111111111111111111111110111111111111111110111111111111111111110111011* L105695 11011111111101111111111111111111111111111111111111110111111111111111111101111011* L105775 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L105855 01110111111111111111111111111111111111110111111111111111011111111111111111111111* L105935 11011111111111111111111111111111111111011111111111110111111111111111111110110111* L106015 11011111110111111111111111111111111111111111111111111011111111111111111101110111* L106095 11011111111111111111111111011111111111111111111111111011111111111111111110111011* L106175 11111111111111111111111111111111111111111111011111111111111111111111111111111111* L106255 11011111011111111111111111111111111111111111111111110111111111111111111110111011* L106335 11011111111111111111111101111111111111111111111111110111111111111111111101111011* L106415 11111111111111101111101111111110111111111111111111100111111111111111011110010111* L106495 01110111111111111111111111111111111111111111111111111111011111011111111111111111* L106575 11111111111111101111101111111110111111111111111111100111111110111111011101011011* L106655 11111111111110111111111111110111111111111111111111111111111111111111111111111111* L106735 11111111111111101111101111111110111110111111111111100111111111111111011101011011* L106815 11111111111111111111111110110111111111111111111111111111111111111111111111111111* L106895 11111111111111101111101111111110111111111111111111100111111111111011011101011011* L106975 11111111111111111111111111110111111111111111111011111111111111111111111111111111* L107055 11111111111111101111101111111110111111111111111101101011111111111011011110010111* L107135 11111101111111101111101111111110111111111111111111101011111111111011011110010111* L107215 11111110111111101111101111111110111111111111111101100111111111111111011101011011* L107295 11111110111111101111101111111110111111111111111110101011111111110111011110010111* L107375 11111111111111101111101111111110111111111111111111100111111011111111011101011011* L107455 11111111111111111111111011110111111111111111111111111111111111111111111111111111* L107535 11111111111111101111101111111110111111111111111111100111111111111111011101011010* L107615 11111111111111111110111111110111111111111111111111111111111111111111111111111111* L107695 11111111111111101111101111111110111111111111111111100111111101111111011110011011* L107775 11111111111111101111101111111110110111111111111111101011111111111111011101011011* L107855 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L107935 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108015 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108095 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108175 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108255 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108335 11111110111111111111111111111111111111111111111110111111111111111111111111111111* L108415 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108495 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108575 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108655 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108735 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108815 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108895 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L108975 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109055 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109135 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109215 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109295 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109375 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109455 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109535 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109615 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109695 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L109775 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 11 PLA OR array * L109855 1011111111111111* L109871 1011111111111111* L109887 1011111111111111* L109903 1011111111111111* L109919 1011111111111111* L109935 1111111111111111* L109951 1011111111111111* L109967 0111111111111111* L109983 0111111111111111* L109999 0111111111111111* L110015 1111111111111111* L110031 0111111111111111* L110047 0111111111111111* L110063 1111111111111111* L110079 0111111111111111* L110095 1111111111111110* L110111 1111111111111110* L110127 1111111111101111* L110143 1111111111101111* L110159 1111111111011111* L110175 1111111111011111* L110191 1111111110111111* L110207 1111111110111111* L110223 1111111110111111* L110239 1111111110111111* L110255 1111111101111111* L110271 1111111101111111* L110287 1111111011111111* L110303 1111111011111111* L110319 1111110111111111* L110335 1111110111111111* L110351 1111111111111111* L110367 1111111111111111* L110383 1111111111111111* L110399 1111111111111111* L110415 1111111111111111* L110431 1111111111111111* L110447 1111111111111111* L110463 1111111111111111* L110479 1111111111111111* L110495 1111111111111111* L110511 1111111111111111* L110527 1111111111111111* L110543 1111111111111111* L110559 1111111111111111* L110575 1111111111111111* L110591 1111111111111111* L110607 1111111111111111* L110623 1111111111111111* L110639 1111111111111111* L110655 1111111111111111* L110671 1111111111111111* L110687 1111111111111111* L110703 1111111111111111* L110719 1111111111111111* L110735 1111111111111111* Note Block 11 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L110751 01000010000001100111111010100* L110780 01000010000001100111111010100* L110809 00000011111111111110100110100* L110838 00000011111111111110100110100* L110867 00000011111111111110100110100* L110896 0000011110001100* L110912 0000000111001100* L110928 0100010111001011* L110944 0100010111001011* L110960 0100010111001001* L110976 0100010111001011* L110992 0100010111001011* L111008 00000011111111111110100110100* L111037 00000011111111111110100110100* L111066 00000011111111111110100110100* L111095 01000010111111111111100100111* Note Block 12 * Note Block 12 ZIA * L111124 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111212 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L111300 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L111388 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111476 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111564 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111652 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L111740 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111828 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L111916 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112004 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L112092 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112180 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L112268 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112356 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L112444 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112532 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L112620 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L112708 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112796 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112884 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L112972 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113060 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113148 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113236 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113324 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113412 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113500 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113588 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113676 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113764 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113852 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L113940 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114028 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114116 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114204 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114292 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114380 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114468 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L114556 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 12 PLA AND array * L114644 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L114724 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L114804 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L114884 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L114964 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115044 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115124 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115204 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115284 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115364 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115444 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L115524 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115604 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115684 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L115764 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115844 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L115924 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116004 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116084 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116164 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116244 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116324 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116404 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L116484 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116564 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116644 11111111111111111111111101111111111111111111111111111111111111111111111111111111* L116724 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116804 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L116884 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L116964 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117044 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117124 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L117204 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117284 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117364 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L117444 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117524 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117604 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L117684 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117764 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L117844 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L117924 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118004 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118084 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L118164 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118244 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118324 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L118404 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118484 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118564 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118644 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118724 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118804 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L118884 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L118964 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L119044 11111111111111111111111111111111011111111111111111111111111111111111111111111111* Note Block 12 PLA OR array * L119124 1111111111111111* L119140 1111111111111111* L119156 1111111111111111* L119172 1111111111111111* L119188 1111111111111111* L119204 1111111111111111* L119220 1111111111111111* L119236 1111111111111111* L119252 1111111111111111* L119268 1111111111111111* L119284 1111111111111111* L119300 1111111111111111* L119316 1111111111111111* L119332 1111111111111111* L119348 1111111111111111* L119364 1111111111111111* L119380 1111111111111111* L119396 1111111111111111* L119412 1111111111111111* L119428 1111111111111111* L119444 1111111111111111* L119460 1111111111111111* L119476 1111111111111111* L119492 1111111111111111* L119508 1111111111111111* L119524 1111111111111111* L119540 1111111111111111* L119556 1111111111111111* L119572 1111111111111111* L119588 1111111111111111* L119604 1111111111111111* L119620 1111111111111111* L119636 1111111111111111* L119652 1111111111111111* L119668 1111111111111111* L119684 1111111111111111* L119700 1111111111111111* L119716 1111111111111111* L119732 1111111111111111* L119748 1111111111111111* L119764 1111111111111111* L119780 1111111111111111* L119796 1111111111111111* L119812 1111111111111111* L119828 1111111111111111* L119844 1111111111111111* L119860 1111111111111111* L119876 1111111111111111* L119892 1111111111111111* L119908 1111111111111111* L119924 1111111111111111* L119940 1111111111111111* L119956 1111111111111111* L119972 1111111111111111* L119988 1111111111111111* L120004 1111111111111111* Note Block 12 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L120020 01000010111111111111100010110* L120049 01000010111111111111100010110* L120078 00000011001110000111100110000* L120107 00000011001110000111100110000* L120136 0100010111000110* L120152 0100010111000110* L120168 0100010111000110* L120184 0100010111000110* L120200 0100010111000110* L120216 0100010111000110* L120232 0100010111000110* L120248 0100010111000110* L120264 01000010111111111111100010110* L120293 00000011001110000111100110000* L120322 01000010111111111111100010110* L120351 01000010111111111111100010110* Note Block 13 * Note Block 13 ZIA * L120380 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L120468 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L120556 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L120644 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L120732 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L120820 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L120908 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L120996 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L121084 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L121172 0111111110111111111111111111111111111111111101111111111111111111111111111111111111111111* L121260 0011111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L121348 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L121436 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L121524 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L121612 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L121700 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L121788 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L121876 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L121964 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L122052 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L122140 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L122228 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L122316 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L122404 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L122492 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L122580 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L122668 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L122756 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L122844 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L122932 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L123020 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L123108 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L123196 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L123284 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L123372 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L123460 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L123548 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L123636 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L123724 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L123812 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* Note Block 13 PLA AND array * L123900 11111110111101111111111111111111101111111111111111111111110111010111011111111111* L123980 11111110111101111111111111111111011111111111111111111111110111011011011111111111* L124060 10111110111101111111111111111111111111111111111111011111110111011111011111111111* L124140 01111110111101111111111111111111111111111111111111101111110111011111011111111111* L124220 11111010111101111111111111111111111111111111111111111101110111011111011111111111* L124300 11110110111101111111111111111111111111111111111111111110110111011111011111111111* L124380 11111110101101111111111111011111111111111111111111111111110111011111011111111111* L124460 11111110011101111111111111101111111111111111111111111111110111011111011111111111* L124540 11111110111101101111111111111111111111111111111111111111010111011111011111111111* L124620 11111110111101011111111111111111111111111111111111111111100111011111011111111111* L124700 01110111011111111111111111111111110111111111011111111111111111111111111111111111* L124780 11111110111101111111111111111111111111011110111111111111110111011111011111111111* L124860 11111110111101111111111111111111111111101101111111111111110111011111011111111111* L124940 11111110111101111111111111111111111111111111111011111111110111011101011111111111* L125020 11111110111101111111111111111111111111111111110111111111110111011110011111111111* L125100 11111110111101111111110111111111111111111111111111111011110111011111011111111111* L125180 11111110111101111111111011111111111111111111111111110111110111011111011111111111* L125260 11111110111101111111111101111011111111111111111111111111110111011111011111111111* L125340 11111110111101111111111110110111111111111111111111111111110111011111011111111111* L125420 11101110111101111111111111111111111111111111111101111111110111011111011111111111* L125500 11011110111101111111111111111111111111111111111110111111110111011111011111111111* L125580 11111110111101111111011111111111111111111111111111111111110111101111011111111111* L125660 11111111111101111111011111111101111111111111111111111111111101111111111111111111* L125740 11111111111111111110111111111111111111111111111111111111111111111111111111111111* L125820 11111111111111111111111111111111111111111111111111111111111111111111111111111101* L125900 11111111111101111111111111111111111111111111111111111111111111111111111111101111* L125980 11111110111101111111111111111111111111110111111111111111110111011111011111111111* L126060 11111111111111111111111111111111011011111111111111111111111111111111111111110111* L126140 11111111111011111111111111111111011011111111111111111111111111111111111111111111* L126220 11011111111111110111111111110111111011111111111111111111111111111111111111110111* L126300 11011111111011110111111111110111111011111111111111111111111111111111111111111111* L126380 11111111111111111011111111111111111111111111111111110111111111111111111111110111* L126460 11111111111011111011111111111111111111111111111111110111111111111111111111111111* L126540 11111111111111111011111111111111111101111101110111111111111111111111111111110111* L126620 11111011111111111111111111111111111111111111111111111111111111111111111111111111* L126700 11111111111011111011111111111111111101111101110111111111111111111111111111111111* L126780 11111111111111111111111111111111111111111110111011111111111111111111111111111111* L126860 11111111110111111111111111111111111111111111111111111111111111111111111111111011* L126940 11111111111111111111111111111111111101111101110111111111111111111111111111111111* L127020 11111111111111111111111111111111111110111111111011111111111111111111111111111111* L127100 11101111111111111111111111111011111111111111111111111111111111111111111111111111* L127180 11011111111111110111111111110111111111111111111111111111111111111111111111111111* L127260 11101111111111111011111111111111111111111111111111111111111111111111111111111111* L127340 11110111110111111111111111111111111111111111111111111111111111111111111111111011* L127420 01111111111111111111111111111111110111111111011111111111111111111111111111110111* L127500 01111111111011111111111111111111110111111111011111111111111111111111111111111111* L127580 10111111111111111111111111111111111111111111101111111111111111111111111111111111* L127660 01111111111111111111111111111111110111111111011111111111111111111111111111111111* L127740 11111111111111111111111111111111111011111111101111111111111111111111111111111111* L127820 01111111111111111111111111111111110111111111111111111111111111111111111111111111* L127900 10111111111111111111111111111111111011111111111111111111111111111111111111111111* L127980 11111111111111111111111111111111111101111101111111111111111111111111111111111111* L128060 11011111111111110111111111110111011111111111111111111111111111111111111111111111* L128140 11111111111111111111111111111111111110111110111111111111111111111111111111111111* L128220 11111111111111110111111111110111111111111111111111111111111111111111111111111111* L128300 11111111111111111011111111111011111111111111111111111111111111111111111111111111* Note Block 13 PLA OR array * L128380 1111111111111110* L128396 1111111111111110* L128412 1111111111111110* L128428 1111111111111110* L128444 1111111111111110* L128460 1111111111111110* L128476 1111111111111110* L128492 1111111111111110* L128508 1111111111111110* L128524 1111111111111110* L128540 1111111111111111* L128556 1111111111111110* L128572 1111111111111110* L128588 1111111111111110* L128604 1111111111111110* L128620 1111111111111110* L128636 1111111111111110* L128652 1111111111111110* L128668 1111111111111110* L128684 1111111111111110* L128700 1111111111111110* L128716 1111111111111110* L128732 1111111111111110* L128748 1111111111111110* L128764 1111111111111110* L128780 1111111111111110* L128796 1111111111111110* L128812 1111111111111011* L128828 1111111111111011* L128844 1111111111111011* L128860 1111111111111011* L128876 1111111111101111* L128892 1111111111101111* L128908 1111111111101111* L128924 1111111111111111* L128940 1111111111101111* L128956 1111111111011111* L128972 1011100010011111* L128988 1111111111011111* L129004 1111111111011111* L129020 1111111110111111* L129036 1111111110111111* L129052 1111111110111111* L129068 1111111101111111* L129084 1111111101111111* L129100 1111111101111111* L129116 1111111011111111* L129132 1111111011111111* L129148 1111111011111111* L129164 1111110111111111* L129180 1111110111111111* L129196 1111101111111111* L129212 1111111111111111* L129228 1111101111111111* L129244 1011111111111111* L129260 1011111111111111* Note Block 13 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L129276 00000000111111111111100110110* L129305 01000010111111111111100010111* L129334 00000011111111111110100110100* L129363 00000011111111111110100110100* L129392 00000011111111111110100110100* L129421 0100010111000111* L129437 0100010111000111* L129453 0100010111000111* L129469 0100010111000101* L129485 0100010111000111* L129501 0100010111000111* L129517 0100010111000100* L129533 00000011111111111110100110100* L129562 01000010111111111111100010100* L129591 00000000111111111111100110110* L129620 01000010111111111111100010100* Note Block 14 * Note Block 14 ZIA * L129649 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L129737 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L129825 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L129913 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130001 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130089 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130177 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130265 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130353 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L130441 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130529 1111111111111111111110111111110111111111111101111111111111111111111111111111111111111111* L130617 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L130705 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L130793 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L130881 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L130969 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L131057 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L131145 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131233 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L131321 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L131409 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131497 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131585 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131673 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131761 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131849 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L131937 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132025 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132113 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132201 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132289 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132377 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132465 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132553 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132641 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132729 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132817 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132905 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L132993 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L133081 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 14 PLA AND array * L133169 11110111110111111111111111111111111111111111111111111111111111111111111111111111* L133249 11111011111011111111111111111111111111111111111111111111111111111111111111111111* L133329 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133409 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133489 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133569 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133649 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133729 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133809 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133889 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L133969 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L134049 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134129 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134209 11111111111111111111111111111111111111011111111111111111111111111111111111111111* L134289 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134369 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134449 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134529 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134609 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134689 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134769 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134849 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L134929 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L135009 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135089 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135169 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L135249 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135329 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135409 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L135489 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135569 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135649 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135729 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135809 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L135889 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L135969 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136049 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136129 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L136209 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136289 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136369 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L136449 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136529 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136609 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L136689 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136769 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L136849 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L136929 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137009 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137089 11111111111111111111111111111111111101111111111111111111111111111111111111111111* L137169 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137249 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137329 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L137409 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137489 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L137569 11111111111111111111111111111101111111111111111111111111111111111111111111111111* Note Block 14 PLA OR array * L137649 1111111011111111* L137665 1111111011111111* L137681 1111111111111111* L137697 1111111111111111* L137713 1111111111111111* L137729 1111111111111111* L137745 1111111111111111* L137761 1111111111111111* L137777 1111111111111111* L137793 1111111111111111* L137809 1111111111111111* L137825 1111111111111111* L137841 1111111111111111* L137857 1111111111111111* L137873 1111111111111111* L137889 1111111111111111* L137905 1111111111111111* L137921 1111111111111111* L137937 1111111111111111* L137953 1111111111111111* L137969 1111111111111111* L137985 1111111111111111* L138001 1111111111111111* L138017 1111111111111111* L138033 1111111111111111* L138049 1111111111111111* L138065 1111111111111111* L138081 1111111111111111* L138097 1111111111111111* L138113 1111111111111111* L138129 1111111111111111* L138145 1111111111111111* L138161 1111111111111111* L138177 1111111111111111* L138193 1111111111111111* L138209 1111111111111111* L138225 1111111111111111* L138241 1111111111111111* L138257 1111111111111111* L138273 1111111111111111* L138289 1111111111111111* L138305 1111111111111111* L138321 1111111111111111* L138337 1111111111111111* L138353 1111111111111111* L138369 1111111111111111* L138385 1111111111111111* L138401 1111111111111111* L138417 1111111111111111* L138433 1111111111111111* L138449 1111111111111111* L138465 1111111111111111* L138481 1111111111111111* L138497 1111111111111111* L138513 1111111111111111* L138529 1111111111111111* Note Block 14 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L138545 01000010111111111111100010110* L138574 01000010111111111111100010110* L138603 00000011001110000111100110000* L138632 00000011001110000111100110000* L138661 0100010111000110* L138677 0100010111000110* L138693 0100010111000110* L138709 0100010111000100* L138725 0100010111000110* L138741 0100010111000110* L138757 0100010111000110* L138773 0100010111000110* L138789 01000010111111111111100010110* L138818 01000010111111111111100010110* L138847 01000010111111111111100010110* L138876 01000010111111111111100010110* Note Block 15 * Note Block 15 ZIA * L138905 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L138993 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L139081 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L139169 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L139257 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L139345 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L139433 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L139521 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L139609 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L139697 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L139785 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L139873 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L139961 1111111111111111111110111111101111111111111101111111111111111111111111111111111111111111* L140049 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L140137 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L140225 1111111111111111111110111111101111111111111101111111111111111111111111111111111111111111* L140313 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L140401 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L140489 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L140577 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L140665 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L140753 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L140841 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L140929 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L141017 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L141105 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L141193 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L141281 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L141369 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L141457 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L141545 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L141633 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L141721 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L141809 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L141897 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L141985 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L142073 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L142161 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L142249 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L142337 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* Note Block 15 PLA AND array * L142425 11111101111111111111111111111011110111111101011111011111111110110101111110101101* L142505 11111101111111111111111111111011110111111001011111011111111111110101111110101101* L142585 11111111111111111111111111111111101011111111111111111111111111111111111111111111* L142665 11111111111111111111111111111111111110111111111110111111111111111111111111111111* L142745 11111111111111111111111111110101110101110111111111111111111101111111111111111111* L142825 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L142905 11111101111111111111111111111010110111111101011111011111111111110101111110101101* L142985 11111101111111111111111111111111110111101101011111011110111111110101111110101101* L143065 11111011111111111111111111111111111011111111111111111111111111111111111111111111* L143145 11111111101111111111111111111111111110111111111111111111111111111111111111111111* L143225 01111101111111111111111111111111110111111101011111011110111111110101111110101101* L143305 11111111111111111111111111111101110101111111111111111111111111111111111111111111* L143385 11111101111111111111111111111111110111111001011111011111111110110101111110101101* L143465 11101111111111111111111111111111111011111111111111111111111111111111111111111111* L143545 11111111111111111111111111111111111110111111111111111111111011111111111111111111* L143625 11111111111111111111111111111101110101110111111111111111111101111111111111111111* L143705 11111101111111111111111111111110110111111001011111011111111111110101111110101101* L143785 11111111111111111111111111111111111011111111111111111111111111111111111011111111* L143865 11111111111111111111111111111111111110111111111111111111111111101111111111111111* L143945 01111101111111111111111111111111110111101101011111011111111111110101111110101101* L144025 10111111111111111111111111111111110101011111111111111111111111111111111111111111* L144105 11111111111111111111111111101111111011111111111111111111111111111111111111111111* L144185 11111111111011111111111111111111111110111111111111111111111111111111111111111111* L144265 11111111111111111111111111111101110101111111111111111111111101111111111111111111* L144345 11111101111111111111111111111110110111111101011111011111111110110101111110101101* L144425 11111111111111111111110111111111011111111111111111111111101111111111111111111111* L144505 11011111111111111111111011011111111111111111111111111111101111111111011111111111* L144585 11011111111111111111110111111111111111111111111011111111111111111111111111111111* L144665 11111111111111111111111011011111111111111111111011111111111111111111011111111111* L144745 11111111111111101111110111111111111111111111111111111111111111111111111111111111* L144825 11111111111111101111111111011111111111111111111111111111111111111111011111111111* L144905 11111111111111111111111101111111111111111111111111111111111111111111111111111111* L144985 11111111111111101111111111101111111111111111111111111111111111111111101111111111* L145065 11111111111111111111110111111111111111111111111111111011111111111111111111111111* L145145 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L145225 11111111111101111111111111111111111111111111111111111011111111111111110111111111* L145305 11111111111110111111111111111111111111111111111111111011111111111111111011111111* L145385 10111111111111111111111111111111111111011111111111111101111111111111111111111111* L145465 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L145545 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L145625 11110111111101111111111111111111111111111111111111111111111111111111110111111111* L145705 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L145785 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L145865 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L145945 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146025 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146105 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146185 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146265 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146345 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146425 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146505 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146585 11101111111111111111111111111111111111111111111011111111111111111111111111111111* L146665 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146745 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L146825 11111111111111111111111111111111101111111111111111111111101111111111111111111111* Note Block 15 PLA OR array * L146905 0111111111111111* L146921 0111111111111111* L146937 0111111111111111* L146953 0111111111111111* L146969 0111111111111111* L146985 1111111111111111* L147001 0111111111111111* L147017 1111011111111111* L147033 1111011111111111* L147049 1111011111111111* L147065 1111011111111111* L147081 1111011111111111* L147097 1101111111111111* L147113 1101111111111111* L147129 1101111111111111* L147145 1101111111111111* L147161 1101111111111111* L147177 1111111111111011* L147193 1111111111111011* L147209 1111111111111011* L147225 1111111111111011* L147241 1110111111111111* L147257 1110111111111111* L147273 1110111111111111* L147289 1110111111111111* L147305 1111111111111110* L147321 1111111111111110* L147337 1111111111111101* L147353 1111111111111101* L147369 1111111111110111* L147385 1111111111110111* L147401 1111111111111111* L147417 1111111111110111* L147433 1111111111101111* L147449 1111111111111111* L147465 1111111111101111* L147481 1111111111101111* L147497 1111111111111111* L147513 1111111111111111* L147529 1111111111111111* L147545 1111111111111111* L147561 1111111111111111* L147577 1111111111111111* L147593 1111111111111111* L147609 1111111111111111* L147625 1111111111111111* L147641 1111111111111111* L147657 1111111111111111* L147673 1111111111111111* L147689 1111111111111111* L147705 1111111111111111* L147721 1111111111111111* L147737 1111111111111111* L147753 1111111111111111* L147769 1111111111111111* L147785 1111111111111111* Note Block 15 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L147801 00000011001110000111100110011* L147830 00000011111111111110100110100* L147859 00000011001110000111100110011* L147888 00000011001110000111100110011* L147917 00000011001110000111100110011* L147946 0000011110001100* L147962 0000011110001100* L147978 0100010111000110* L147994 0100010111000110* L148010 0000000111001110* L148026 0000000111001110* L148042 0100010111001011* L148058 01000010111111111111100100111* L148087 00000011001110000111100110011* L148116 01000010111111111111100100101* L148145 01000010111111111111100100101* Note Block 16 * Note Block 16 ZIA * L148174 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L148262 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L148350 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L148438 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L148526 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L148614 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L148702 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L148790 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L148878 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L148966 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L149054 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L149142 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L149230 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L149318 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L149406 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L149494 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L149582 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L149670 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L149758 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L149846 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L149934 1111111111111111111110111111101111111111111101111111111111111111111111111111111111111111* L150022 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150110 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150198 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150286 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150374 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150462 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L150550 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150638 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150726 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150814 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L150902 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L150990 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L151078 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L151166 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L151254 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L151342 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L151430 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L151518 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L151606 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* Note Block 16 PLA AND array * L151694 11111111111111111111111111111111111101111111111111111111111111111011111111111111* L151774 11111111111111111111111111111011111110111111111111111111111111111111111111111111* L151854 11111111111111111111111110111111111101111111111111111111111111111111111111111111* L151934 11111111111111111111111111111111111110101111111111111111111111111111111111111111* L152014 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L152094 11111111111111111111111111111111111101111111111111111111111111111111111111111110* L152174 11111111111111111111111111111111101110111111111111111111111111111111111111111111* L152254 11111111111111111111111111111111111101111111111111111011111111111111111111111111* L152334 11111111111110111111111111111111111110111111111111111111111111111111111111111111* L152414 11111111111111111111111111111111111101111111111111111111111111111111111111101111* L152494 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L152574 11111111111111111111111011111111111110111111111111111111111111111111111111111111* L152654 11111111111111111111101111111111111101111111111111111111111111111111111111111111* L152734 11111111111111101111111111111111111110111111111111111111111111111111111111111111* L152814 11111111111111111111111111111111111101111111111111111111111111101111111111111111* L152894 11111111111011111111111111111111111110111111111111111111111111111111111111111111* L152974 11111111111111111111111111111111111101111111111111111111111111111111111110111111* L153054 11111111101111111111111111111111111110111111111111111111111111111111111111111111* L153134 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153214 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153294 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153374 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153454 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153534 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153614 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153694 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L153774 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153854 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L153934 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L154014 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154094 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154174 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L154254 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154334 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154414 11111111111111111111111111011111111111111111111111111111111111111111111111111111* L154494 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154574 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154654 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L154734 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154814 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L154894 11101111111111111111111111111111111111111111111111111111111111111111111111111111* L154974 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155054 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155134 11111111111111111111111111111111111111110111111111111111111111111111111111111111* L155214 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155294 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155374 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155454 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155534 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155614 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155694 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155774 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155854 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L155934 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L156014 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L156094 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 16 PLA OR array * L156174 1011111111111111* L156190 1011111111111111* L156206 1101111111111111* L156222 1101111111111111* L156238 1111111111111111* L156254 1110111111111111* L156270 1110111111111111* L156286 1111011111111111* L156302 1111011111111111* L156318 1111111111110111* L156334 1111111111111111* L156350 1111111111110111* L156366 1111111111111011* L156382 1111111111111011* L156398 1111111111111101* L156414 1111111111111101* L156430 1111111111111110* L156446 1111111111111110* L156462 1111111111111111* L156478 1111111111111111* L156494 1111111111111111* L156510 1111111111111111* L156526 1111111111111111* L156542 1111111111111111* L156558 1111111111111111* L156574 1111111111111111* L156590 1111111111111111* L156606 1111111111111111* L156622 1111111111111111* L156638 1111111111111111* L156654 1111111111111111* L156670 1111111111111111* L156686 1111111111111111* L156702 1111111111111111* L156718 1111111111111111* L156734 1111111111111111* L156750 1111111111111111* L156766 1111111111111111* L156782 1111111111111111* L156798 1111111111111111* L156814 1111111111111111* L156830 1111111111111111* L156846 1111111111111111* L156862 1111111111111111* L156878 1111111111111111* L156894 1111111111111111* L156910 1111111111111111* L156926 1111111111111111* L156942 1111111111111111* L156958 1111111111111111* L156974 1111111111111111* L156990 1111111111111111* L157006 1111111111111111* L157022 1111111111111111* L157038 1111111111111111* L157054 1111111111111111* Note Block 16 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L157070 00000011001110000111100110010* L157099 00000011001000110111100110111* L157128 00000011001000110111100110111* L157157 00000011001000110111100110111* L157186 00000011001000110111100110111* L157215 0100010111000110* L157231 0100010111000110* L157247 0100010111000110* L157263 0100010111000110* L157279 0100010111000110* L157295 1110010111000110* L157311 0100010111000110* L157327 00000011001000110111100110111* L157356 00000011001000110111100110111* L157385 00000011001000110111100110111* L157414 00000011001000110111100110111* Note Block 17 * Note Block 17 ZIA * L157443 1111111111111111111111111111111111111111111101111111111111111111010111111111111111111111* L157531 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L157619 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L157707 1111111111111111111111111111111111111111111101111111111111111111010111111111111111111111* L157795 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L157883 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L157971 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L158059 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L158147 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L158235 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L158323 1111111111111111110101111111111111111111111101111111111111111111111111111111111111111111* L158411 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L158499 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L158587 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L158675 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L158763 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L158851 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L158939 1111111111111111111111111111111111111111111101111111111111111111010111111111111111111111* L159027 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159115 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159203 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159291 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L159379 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159467 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159555 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159643 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159731 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159819 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159907 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L159995 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160083 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160171 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160259 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160347 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160435 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160523 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160611 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160699 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160787 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L160875 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 17 PLA AND array * L160963 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161043 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161123 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161203 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161283 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161363 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161443 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161523 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161603 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161683 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161763 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L161843 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L161923 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162003 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L162083 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162163 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162243 11111111111111111111111111111111110111111111111111111111111111111111111111111111* L162323 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162403 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162483 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162563 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162643 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162723 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L162803 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162883 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L162963 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L163043 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163123 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163203 11111111111111111111111111011111111111111111111111111111111111111111111111111111* L163283 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163363 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163443 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L163523 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163603 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163683 11111111111111111111111111111111111111111101111111111111111111111111111111111111* L163763 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163843 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L163923 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L164003 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164083 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164163 11111111111111111111110111111111111111111111111111111111111111111111111111111111* L164243 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164323 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164403 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L164483 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164563 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164643 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L164723 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164803 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L164883 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L164963 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L165043 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L165123 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L165203 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L165283 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L165363 11111111111101111111111111111111111111111111111111111111111111111111111111111111* Note Block 17 PLA OR array * L165443 1111111111111111* L165459 1111111111111111* L165475 1111111111111111* L165491 1111111111111111* L165507 1111111111111111* L165523 1111111111111111* L165539 1111111111111111* L165555 1111111111111111* L165571 1111111111111111* L165587 1111111111111111* L165603 1111111111111111* L165619 1111111111111111* L165635 1111111111111111* L165651 1111111111111111* L165667 1111111111111111* L165683 1111111111111111* L165699 1111111111111111* L165715 1111111111111111* L165731 1111111111111111* L165747 1111111111111111* L165763 1111111111111111* L165779 1111111111111111* L165795 1111111111111111* L165811 1111111111111111* L165827 1111111111111111* L165843 1111111111111111* L165859 1111111111111111* L165875 1111111111111111* L165891 1111111111111111* L165907 1111111111111111* L165923 1111111111111111* L165939 1111111111111111* L165955 1111111111111111* L165971 1111111111111111* L165987 1111111111111111* L166003 1111111111111111* L166019 1111111111111111* L166035 1111111111111111* L166051 1111111111111111* L166067 1111111111111111* L166083 1111111111111111* L166099 1111111111111111* L166115 1111111111111111* L166131 1111111111111111* L166147 1111111111111111* L166163 1111111111111111* L166179 1111111111111111* L166195 1111111111111111* L166211 1111111111111111* L166227 1111111111111111* L166243 1111111111111111* L166259 1111111111111111* L166275 1111111111111111* L166291 1111111111111111* L166307 1111111111111111* L166323 1111111111111111* Note Block 17 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L166339 01000010111111111111100010110* L166368 01000010111111111111100010110* L166397 01000010111111111111100010110* L166426 00000011001110000111100110000* L166455 0100010111000110* L166471 0100010111000110* L166487 0100010111000110* L166503 0100010111000110* L166519 0100010111000110* L166535 0100010111000110* L166551 0100010111000110* L166567 0100010111000110* L166583 01000010111111111111100010110* L166612 01000010111111111111100010110* L166641 00000011001110000111100110000* L166670 01000010111111111111100010110* Note Block 18 * Note Block 18 ZIA * L166699 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L166787 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L166875 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L166963 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L167051 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L167139 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L167227 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L167315 1111111111111011111101111111111111111111111101111111111111111111111111111111111111111111* L167403 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L167491 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L167579 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L167667 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L167755 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L167843 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L167931 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L168019 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L168107 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L168195 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L168283 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L168371 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L168459 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L168547 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L168635 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L168723 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L168811 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L168899 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L168987 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L169075 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L169163 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L169251 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L169339 1111111111111111011101111111111111111111111101111111111111111111111111111111111111111111* L169427 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L169515 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L169603 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L169691 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L169779 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L169867 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L169955 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L170043 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L170131 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* Note Block 18 PLA AND array * L170219 11111110111111111111111111111111111111111111111111111011111111111111111111111111* L170299 11111111111111111111111111111111111111111011111111101111111111111111111111111111* L170379 11111111111111111011111101111111011111111101110111110101111110110111011110100111* L170459 10111111111111111011111111111111111111111111111111010111111111111111111111111111* L170539 10111111111111111111111111111111111111111111111111010111111110111111111111111111* L170619 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L170699 10111111111111111111111111111111111111111101111111010111111111111111111111111111* L170779 11111111111111111111111101111110011110111111110111110101111111110111011110100111* L170859 11111111111111111111111111111111111111111111111111111011101111111111111111111111* L170939 11111111111111111111111111101111111111111111111111101111111111111111111111111111* L171019 11111111111111111111111101111101011111111110110111110101111111110111011110100111* L171099 11111111111111111111111111111011111111111111111111111011111111111111111111111111* L171179 11111111111111111111111111111111111111111111111111101111111111111111111011111111* L171259 11111111111111011111111111111111111011111111111111010111111111111111111111111111* L171339 11111111111111101111111111111111110111111111111111010111111111111111111111111111* L171419 11111111111111111111111111111101111110111111111111111111111111111111111111111111* L171499 11111111111111111111111111111111111111011111111111010111111111111111111111111111* L171579 11111111111111111111111111111110111011101111111111111111111111111111111111111111* L171659 11111111111111011111111111111110110111101111111111111111111111111111111111111111* L171739 11111111111111011111111111111110111011011111111111111111111111111111111111111111* L171819 11111111111111111011111111111111111111111111111111111111111110111111111111111111* L171899 11111111111111111011111111111111111111111101111111111111111111111111111111111111* L171979 11111111111111111111111111111111111111111101111111111111111110111111111111111111* L172059 11111111111110111111111111111111111111111111111111111111010111111111111111111111* L172139 11111111111110111111111111110111111111111111111101111111111011111111111111111111* L172219 11111011111111111111111111111111111111111111111111111111110111111111111111111111* L172299 11111011111111111111111111110111111111111111111101111111111111111111111111111111* L172379 11111011111111111111111111111011111111111111111110111111111111111111111111111111* L172459 11111111111111111111111111111111110111011111111111111111111111111111111111111111* L172539 11111111111111101111111111111111111111011111111111111111111111111111111111111111* L172619 11111111111111101111111111111111110111111111111111111111111111111111111111111111* L172699 11111111010111111101110111111111111111111111111111111111111111111111111111111111* L172779 11101111111111111111111111111111111111111111111111111111110111111111111111111111* L172859 11101111011111111101111111111111111111111111111111111111111111111111111111111111* L172939 11111111111111111111111011111111111111111111101111111111111111111111111111111111* L173019 11101111101111111110111111111111111111111111111111111111111111111111111111111111* L173099 11111111111111111111110111111111111111111111101111111111110111111111111111111111* L173179 11111111011111111101111111111111111111111111101111111111111011111111111111111111* L173259 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173339 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173419 11111111111111111111111111111111111111111111111111111111111111111111111111111101* L173499 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173579 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173659 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173739 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173819 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L173899 11111111111110111111111111111111111111111111111111111111101111111111111111111111* L173979 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174059 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174139 11111101111111111111111111110111111111111111111101111111011111111111111111111111* L174219 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174299 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174379 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174459 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174539 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L174619 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 18 PLA OR array * L174699 0111111111111111* L174715 0111111111111111* L174731 0111111111111111* L174747 0111111111111111* L174763 0111111111111111* L174779 1111111111111111* L174795 0111111111111111* L174811 1011111111111111* L174827 1011111111111111* L174843 1011111111111111* L174859 1011111111111111* L174875 1101111111111111* L174891 1101111111111111* L174907 1101111111111111* L174923 1101111111111111* L174939 1111111111111110* L174955 1111111111111111* L174971 1111111111111110* L174987 1111111111111110* L175003 1111111111111110* L175019 1111111111111101* L175035 1111111111111101* L175051 1111111111111101* L175067 1111111111110111* L175083 1111111111110111* L175099 1111111111101111* L175115 1111111111101111* L175131 1111111111101111* L175147 1111111111011111* L175163 1111111111011111* L175179 1111111111011111* L175195 1111111111111111* L175211 1111111110111111* L175227 1111111110111111* L175243 1111111111111111* L175259 1111111110111111* L175275 1111111101111111* L175291 1111111101111111* L175307 1111111111111111* L175323 1111111111111111* L175339 1111111111111111* L175355 1111111111111111* L175371 1111111111111111* L175387 1111111111111111* L175403 1111111111111111* L175419 1111111111111111* L175435 1111111111111111* L175451 1111111111111111* L175467 1111111111111111* L175483 1111111111111111* L175499 1111111111111111* L175515 1111111111111111* L175531 1111111111111111* L175547 1111111111111111* L175563 1111111111111111* L175579 1111111111111111* Note Block 18 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L175595 00000011001110000111100110011* L175624 00000011001110000111100110011* L175653 00000011001110000111100110001* L175682 00000011111111111110100110100* L175711 00000011111111111110100110100* L175740 0000011110001100* L175756 0000011110001100* L175772 0000000111001110* L175788 0100010111001001* L175804 0100010111001011* L175820 0000000111001110* L175836 0100010111001011* L175852 01000010111111111111100100101* L175881 00000000111111111111100110110* L175910 00000000111111111111100110100* L175939 00000000111111111111100110100* Note Block 19 * Note Block 19 ZIA * L175968 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L176056 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L176144 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L176232 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L176320 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L176408 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L176496 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L176584 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L176672 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L176760 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L176848 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L176936 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L177024 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L177112 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L177200 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L177288 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L177376 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L177464 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L177552 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L177640 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L177728 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L177816 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L177904 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L177992 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L178080 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L178168 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L178256 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L178344 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L178432 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L178520 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L178608 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L178696 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L178784 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L178872 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L178960 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L179048 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L179136 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L179224 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L179312 1111111111111111111110111011111111111111111101111111111111111111111111111111111111111111* L179400 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* Note Block 19 PLA AND array * L179488 11101111111111111111111111111111111111111101111110111111111111111111111111111111* L179568 11111111111111111111111111111111110111111111111111111111111111011111111111111111* L179648 11111111111111111111111111111111110111111111111111111111111101111111111111111111* L179728 11111111111101111111111111111111111111111111111111111110111111111111111111111111* L179808 11111111111110111111111110111111111111111111111111111111111111111111111111111111* L179888 11111111111101111111111111111111111111111111101111111111111111111111111111111111* L179968 11111111111110111111111111111111111111111111111111111111111111111111111111111011* L180048 11111111111101111111111111111111111111111111111111111111111111111111111111101111* L180128 11111111111110111111111111111111111111101111111111111111111111111111111111111111* L180208 11111111111101111111111111111111111111111111111111111111101111111111111111111111* L180288 11111111111110111111101111111111111111111111111111111111111111111111111111111111* L180368 11111111111101111011111111111111111111111111111111111111111111111111111111111111* L180448 11111111101110111111111111111111111111111111111111111111111111111111111111111111* L180528 11111111110111111111111111111111110110111111111111111111111111111111111111111111* L180608 11111111111111111110111011111010101111111011111111111111111111111011101111111101* L180688 11111111111011111111111111111111111101111111111111111011111111111111111111111110* L180768 11111110111111111111111111111111110111111111111111011111111111111111111111111111* L180848 11111111111111111110111011111010101110111011111111111111111111111011101111111101* L180928 11111101111111111111111111111111111111111111111111101011111111111111111111111110* L181008 10111111111111111111111111111111110111111111111111111111111111111111110111111111* L181088 11111110111111111110111011111010101110111011111111111111111111111011101111111101* L181168 01111111111111111111111111111111111111111111111111111011111111111111111011111110* L181248 11111111111111101111111111011111110111111111111111111111111111111111111111111111* L181328 10111110111111111110111011111010101110111011111111111111111111111011101111111101* L181408 11111111111111011111111111101111111111111111111111111011111111111111111111111110* L181488 11111011111111111111111111111111110111111111110111111111111111111111111111111111* L181568 10111110111111101110111011111010101110111011111111111111111111111011101111111101* L181648 11110111111111111111111111111111111111111111111011111011111111111111111111111110* L181728 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L181808 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L181888 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L181968 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182048 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182128 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182208 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182288 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182368 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182448 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182528 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182608 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182688 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182768 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182848 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L182928 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183008 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183088 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183168 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183248 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183328 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183408 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183488 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183568 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183648 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183728 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183808 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L183888 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 19 PLA OR array * L183968 1101111111111111* L183984 1101111111111111* L184000 1101111111111111* L184016 1110111111111111* L184032 1110111111111111* L184048 1111111111110111* L184064 1111111111110111* L184080 1111111111111011* L184096 1111111111111011* L184112 1111111111111101* L184128 1111111111111101* L184144 1111111111111110* L184160 1111111111111110* L184176 1111111111101111* L184192 1111111111101111* L184208 1111111111101111* L184224 1111111111011111* L184240 1111111111011111* L184256 1111111111011111* L184272 1111111110111111* L184288 1111111110111111* L184304 1111111110111111* L184320 1111111101111111* L184336 1111111101111111* L184352 1111111101111111* L184368 1111111011111111* L184384 1111111011111111* L184400 1111111011111111* L184416 1111111111111111* L184432 1111111111111111* L184448 1111111111111111* L184464 1111111111111111* L184480 1111111111111111* L184496 1111111111111111* L184512 1111111111111111* L184528 1111111111111111* L184544 1111111111111111* L184560 1111111111111111* L184576 1111111111111111* L184592 1111111111111111* L184608 1111111111111111* L184624 1111111111111111* L184640 1111111111111111* L184656 1111111111111111* L184672 1111111111111111* L184688 1111111111111111* L184704 1111111111111111* L184720 1111111111111111* L184736 1111111111111111* L184752 1111111111111111* L184768 1111111111111111* L184784 1111111111111111* L184800 1111111111111111* L184816 1111111111111111* L184832 1111111111111111* L184848 1111111111111111* Note Block 19 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L184864 00000011111111111110100110100* L184893 00000011111111111110100110100* L184922 00000011001110000111100110011* L184951 00000011001000110111100110111* L184980 0000011110001100* L184996 0000011110001100* L185012 0000011110001100* L185028 0100010111100100* L185044 0100010111100100* L185060 0100010111100100* L185076 0100010111100100* L185092 0100010111100100* L185108 00000011001000110111100110111* L185137 00000011001000110111100110111* L185166 00000011001000110111100110111* L185195 00000011001000110111100110111* Note Block 20 * Note Block 20 ZIA * L185224 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L185312 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L185400 1111111111111111111111111111111111111111111101111111111111111011110111111111111111111111* L185488 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L185576 1111111111111111111111111111111111111111111101111111111111110111110111111111111111111111* L185664 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L185752 1111111111111111111111111111111111111111111101111111111111110111110111111111111111111111* L185840 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L185928 1111111111111111111111111111111111111111111101111111111111110111110111111111111111111111* L186016 1111111111111111111111111111111111111111111101111111111111110111110111111111111111111111* L186104 1111111111111111111111111111111111111111111101111111111111111011110111111111111111111111* L186192 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L186280 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L186368 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L186456 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L186544 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L186632 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L186720 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L186808 1111111111111111111111111111111111111111111101111111111111111110110111111111111111111111* L186896 1111111111111111111111111111111111111111111101111111111111111101110111111111111111111111* L186984 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187072 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187160 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187248 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187336 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187424 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187512 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187600 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187688 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187776 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187864 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L187952 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188040 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188128 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188216 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188304 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188392 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188480 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188568 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L188656 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 20 PLA AND array * L188744 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L188824 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L188904 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L188984 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189064 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L189144 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189224 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189304 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189384 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189464 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189544 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L189624 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189704 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189784 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189864 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L189944 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190024 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190104 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190184 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190264 11111111011111111111111111111111111111111111111111111111111111111111111111111111* L190344 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190424 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190504 11111101111111111111111111111111111111111111111111111111111111111111111111111111* L190584 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190664 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190744 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L190824 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190904 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L190984 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L191064 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191144 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191224 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L191304 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191384 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191464 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L191544 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191624 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191704 11111111111111111111111111111101111111111111111111111111111111111111111111111111* L191784 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191864 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L191944 11111111111111111111111101111111111111111111111111111111111111111111111111111111* L192024 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192104 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192184 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L192264 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192344 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192424 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L192504 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192584 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192664 11111111111111111111111111111111111111011111111111111111111111111111111111111111* L192744 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192824 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L192904 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L192984 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L193064 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L193144 11111111111111111111111111111111111101111111111111111111111111111111111111111111* Note Block 20 PLA OR array * L193224 1111111111111111* L193240 1111111111111111* L193256 1111111111111111* L193272 1111111111111111* L193288 1111111111111111* L193304 1111111111111111* L193320 1111111111111111* L193336 1111111111111111* L193352 1111111111111111* L193368 1111111111111111* L193384 1111111111111111* L193400 1111111111111111* L193416 1111111111111111* L193432 1111111111111111* L193448 1111111111111111* L193464 1111111111111111* L193480 1111111111111111* L193496 1111111111111111* L193512 1111111111111111* L193528 1111111111111111* L193544 1111111111111111* L193560 1111111111111111* L193576 1111111111111111* L193592 1111111111111111* L193608 1111111111111111* L193624 1111111111111111* L193640 1111111111111111* L193656 1111111111111111* L193672 1111111111111111* L193688 1111111111111111* L193704 1111111111111111* L193720 1111111111111111* L193736 1111111111111111* L193752 1111111111111111* L193768 1111111111111111* L193784 1111111111111111* L193800 1111111111111111* L193816 1111111111111111* L193832 1111111111111111* L193848 1111111111111111* L193864 1111111111111111* L193880 1111111111111111* L193896 1111111111111111* L193912 1111111111111111* L193928 1111111111111111* L193944 1111111111111111* L193960 1111111111111111* L193976 1111111111111111* L193992 1111111111111111* L194008 1111111111111111* L194024 1111111111111111* L194040 1111111111111111* L194056 1111111111111111* L194072 1111111111111111* L194088 1111111111111111* L194104 1111111111111111* Note Block 20 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L194120 01000010111111111111100010110* L194149 11101010000001111111100110100* L194178 11101010000001111111100110100* L194207 01000010111111111111100010110* L194236 01000010111111111111100010110* L194265 0100010111000110* L194281 0100010111000110* L194297 0100010111000110* L194313 0100010111000110* L194329 0100010111000110* L194345 0100010111000110* L194361 0100010111000110* L194377 01000010111111111111100010110* L194406 01000010111111111111100010110* L194435 01000010111111111111100010110* L194464 01000010111111111111100010110* Note Block 21 * Note Block 21 ZIA * L194493 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L194581 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L194669 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L194757 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L194845 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L194933 0111111110111111111111111111111111111111111101111111111111111111111111111111111111111111* L195021 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L195109 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L195197 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L195285 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L195373 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L195461 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L195549 1111111111111111111111111111111111111111111101111111111111111111111111111111110111111110* L195637 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L195725 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L195813 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L195901 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L195989 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L196077 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L196165 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L196253 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L196341 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L196429 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L196517 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L196605 1111111111111111111110111101111111111111111101111111111111111111111111111111111111111111* L196693 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L196781 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L196869 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L196957 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L197045 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L197133 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L197221 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L197309 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L197397 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L197485 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L197573 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L197661 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L197749 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L197837 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L197925 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 21 PLA AND array * L198013 11111111101111111111111111111111111111111111111111111011111111111111111111111111* L198093 11101101110111111111011111111101111011111101111111110111111101110101111110101111* L198173 11111101110111111111011111111101111010111101111111110111111101110101111110101111* L198253 11101001110111111111011111111101111111111101111111110111111101110101111110101111* L198333 11111111111111111111111110111111111111111111111111111111111111111111111111110111* L198413 11111111111111111101111111111111111111111111111111111111111111111111111111111111* L198493 11111001110111111111011111111101111011111101111111110111111101110101111110101111* L198573 11111001110111111111011111111101111110111101111111110111111101110101111110101111* L198653 11011101111011111111011111111101110101111101111111110111111101110101111110101111* L198733 11110101111011111111011111111101110111111101111111110111111101110101111110101111* L198813 11010101111011111111011111111101111101111101111111110111111101110101111110101111* L198893 11111111111111111011111111111111111111111111111111101111111111111111111111111111* L198973 11100101111111111111011111111101110111111101111111110111111101110101111110101111* L199053 11010101111111111111011111111101111001111101111111110111111101110101111110101111* L199133 11110101111111111111011111111101110110111101111111110111111101110101111110101111* L199213 11101001111111111111011111111101111011111101111111110111111101110101111110101111* L199293 11011001111111111111011111111101110101111101111111110111111101110101111110101111* L199373 11111001111111111111011111111101111010111101111111110111111101110101111110101111* L199453 11111111111111111111111111111111111111111111111111111011111011111111111111111111* L199533 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L199613 11111111111111111111111111111111111111111111101111101111111111111111111111111111* L199693 11111111111101111111111111111111111111111111111111111111111111101111111111111111* L199773 11111111111110111111111111111111111111111111111110111111111111111111111111111111* L199853 11111111111101111111111111111111111111111111111111111111101111111111111111111111* L199933 11111111111110111111111111111111111111101111111111111111111111111111111111111111* L200013 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L200093 11111111111101111111111111111011111111111111111111111111111111111111111111111111* L200173 11111111111110111111111111111111111111111111111011111111111111111111111111111111* L200253 11010111111111111111111111111111111111111111111111111111111111111111111111111111* L200333 11111111111111111111110111111111111111111111111111111111111111111111111111111111* L200413 11111111111111111111111111111111111111111111111111111101111111111111011111111111* L200493 01010111111111011111111111011111011111111111111111111111111111111111111111111111* L200573 11111111110111111111111111011111111111111111111111111111111111111111111111111111* L200653 11011111111011111111111111111111110101111111111111111111111111111111111111111111* L200733 01010111111111111111111111011111011111111111111111111111111111111111111111111111* L200813 11110111111011111111111111111111110111111111111111111111111111111111111111111111* L200893 11010111111011111111111111111111111101111111111111111111111111111111111111111111* L200973 01010111111111111111111111011111111111111111111111111111111111111111111111111111* L201053 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201133 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201213 11010111111111111111111111011111111111111111111111111111111111111111111111111111* L201293 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201373 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201453 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201533 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201613 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201693 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201773 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201853 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L201933 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202013 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202093 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202173 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202253 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202333 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L202413 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 21 PLA OR array * L202493 1111111111111101* L202509 1111111111111101* L202525 1111111111111101* L202541 1111111111111101* L202557 1111111111111111* L202573 1111111111111111* L202589 1111111111111101* L202605 1111111111111101* L202621 1111111111111101* L202637 1111111111111101* L202653 1111111111111101* L202669 1111111111111101* L202685 1111111111111011* L202701 1111111111111011* L202717 1111111111111011* L202733 1111111111111011* L202749 1111111111111011* L202765 1111111111111011* L202781 1111111111111011* L202797 1111111111111111* L202813 1111111111111011* L202829 0111111111111111* L202845 0111111111111111* L202861 1011111111111111* L202877 1011111111111111* L202893 1111111111111111* L202909 1101111111111111* L202925 1101111111111111* L202941 1111111111111111* L202957 1111111111110111* L202973 1111111111110111* L202989 1111111111111111* L203005 1111111111101111* L203021 1111111111101111* L203037 1111111111111111* L203053 1111111111101111* L203069 1111111111101111* L203085 1111111111111111* L203101 1111111111111111* L203117 1111111111111111* L203133 1111111111111111* L203149 1111111111111111* L203165 1111111111111111* L203181 1111111111111111* L203197 1111111111111111* L203213 1111111111111111* L203229 1111111111111111* L203245 1111111111111111* L203261 1111111111111111* L203277 1111111111111111* L203293 1111111111111111* L203309 1111111111111111* L203325 1111111111111111* L203341 1111111111111111* L203357 1111111111111111* L203373 1111111111111111* Note Block 21 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L203389 00000011001000110111100110111* L203418 00000011001000110111100110111* L203447 00000011001000110111100110111* L203476 00000011001110000111100110001* L203505 1110010111101011* L203521 1110010111101010* L203537 1110010111101010* L203553 1110010111101010* L203569 1110010111101010* L203585 1110010111101010* L203601 1110010111101010* L203617 0000000111001100* L203633 00000011001110000111100110011* L203662 00000011001110000111100110011* L203691 00000011001110000111100110011* L203720 00000011111111111110100110100* Note Block 22 * Note Block 22 ZIA * L203749 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L203837 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L203925 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L204013 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L204101 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L204189 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L204277 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L204365 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L204453 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L204541 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L204629 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L204717 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L204805 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L204893 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L204981 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L205069 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L205157 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L205245 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L205333 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L205421 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L205509 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L205597 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L205685 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L205773 1111111111111111111111111111111111111111111100111111111011111111111111111111111111111111* L205861 1111111111111111111111111111111111111111111101111111111111111111111111111111110111111110* L205949 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L206037 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L206125 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L206213 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L206301 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L206389 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L206477 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L206565 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L206653 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L206741 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L206829 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L206917 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L207005 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L207093 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L207181 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* Note Block 22 PLA AND array * L207269 11111111111111111111111111111111111110111111111111111011111111111111111111111111* L207349 11111101111101111111011110111101111111111101111111110101111101110111111110100111* L207429 11111101111101111111011101111101111111111101111111110110111101110111111110100111* L207509 11111101111110111111011101111101111111111101111111110101111101110111111110100111* L207589 11111111111111111111111111111111111111011111111110111111111111111111111111111111* L207669 11111111111111111111111111111111111111111111111111111111111111111101111111111111* L207749 11111101111110111111011110111101111111111101111111110110111101110111111110100111* L207829 11111011111111111111111111111111111111111111111111101111111111111111111111111111* L207909 11111111111111111111111111111111111111111111111111111011111011111111111111111111* L207989 11111111111111111111111111111111101111111111011111010111111111111111111111111111* L208069 11111111111111111111111111111111011111111111101111010111111111111111111111111111* L208149 11111111111111101111111111111111111111111111111111101111111111111111111111111111* L208229 11111111111011111111111111111111111111111111111111111011111111111111111111111111* L208309 11111111111111111111111111111111111111110111111111010111111111111111111111111111* L208389 01111111111111111111111111111011111111111111111111010111111111111111111111111111* L208469 10111111111111111111111111110111111111111111111111010111111111111111111111111111* L208549 11111111111111111110111111111111111111111111111111101111111111111111111111111111* L208629 11111111111111111111111110111111111111111111111111111110111111111111111111111111* L208709 11111111111110111111111110111111111111111111111111111111111111111111111111111111* L208789 11111111111111111111111111111111111111111111110111010111111111111111111111111111* L208869 11111111111110111111111111111111111111111111111111111110111111111111111111111111* L208949 11111111111111111111111111111111101111111011111111111111111111111111111111111111* L209029 11111111111111111111111111111111011111111011011111111111111111111111111111111111* L209109 11111111111111111111111111111111101111110111011111111111111111111111111111111111* L209189 11111111111111111111111111111011111111111111111011111111111111111111111111111111* L209269 01111111111111111111111111110111111111111111111011111111111111111111111111111111* L209349 01111111111111111111111111111011111111111111110111111111111111111111111111111111* L209429 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209509 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209589 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209669 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209749 11011111011111110111110111010111010111111111111111111101111111011111011111111101* L209829 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209909 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L209989 11011111011111110111110111010111010111111111111111111101111111011111011111111111* L210069 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210149 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210229 11011111011111110111110111010111011111111111111111111101111111011111011111111111* L210309 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210389 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210469 11011111011111110111110111010111011111111111111111111101111111011111111111111111* L210549 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210629 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210709 11011111111111110111110111010111011111111111111111111101111111011111111111111111* L210789 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210869 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L210949 11111111111111110111110111010111011111111111111111111101111111011111111111111111* L211029 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211109 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211189 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211269 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211349 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211429 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211509 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211589 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L211669 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 22 PLA OR array * L211749 0111111111111111* L211765 0111111111111111* L211781 0111111111111111* L211797 0111111111111111* L211813 1111111111111111* L211829 1111111111111111* L211845 0111111111111111* L211861 0111111111111111* L211877 1011111111111111* L211893 1011111111111111* L211909 1011111111111111* L211925 1011111111111111* L211941 1110111111111111* L211957 1111111111111111* L211973 1110111111111111* L211989 1110111111111111* L212005 1110111111111111* L212021 1111111111111110* L212037 1111111111111110* L212053 1111111111111111* L212069 1111111111111110* L212085 1111111111111101* L212101 1111111111111101* L212117 1111111111111101* L212133 1111111111111011* L212149 1111111111111011* L212165 1111111111111011* L212181 1111111111111111* L212197 1111111111111111* L212213 1111111111111111* L212229 1111111111111111* L212245 1111111111111111* L212261 1111111111111111* L212277 1111111111111111* L212293 1111111111111111* L212309 1111111111111111* L212325 1111111111111111* L212341 1111111111111111* L212357 1111111111111111* L212373 1111111111111111* L212389 1111111111111111* L212405 1111111111111111* L212421 1111111111111111* L212437 1111111111111111* L212453 1111111111111111* L212469 1111111111111111* L212485 1111111111111111* L212501 1111111111111111* L212517 1111111111111111* L212533 1111111111111111* L212549 1111111111111111* L212565 1111111111111111* L212581 1111111111111111* L212597 1111111111111111* L212613 1111111111111111* L212629 1111111111111111* Note Block 22 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L212645 00000011001110000111100110011* L212674 00000011001110000111100110001* L212703 00000011111111111110100110100* L212732 00000011001110000111100110001* L212761 00000011111111111110100110100* L212790 0000011110001100* L212806 0000011110001100* L212822 1110010111101010* L212838 1110010111101010* L212854 1110010111101010* L212870 1110010111101010* L212886 1110010111101010* L212902 11100010111111111111110100110* L212931 00000000111111111111100110100* L212960 00000000111111111111100110100* L212989 00000000111111111111100110100* Note Block 23 * Note Block 23 ZIA * L213018 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L213106 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L213194 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L213282 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L213370 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L213458 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L213546 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L213634 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L213722 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L213810 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L213898 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L213986 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L214074 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L214162 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L214250 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L214338 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L214426 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L214514 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L214602 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L214690 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L214778 1111111111111110111101111111111111111111111101111111111111111111111111111111111111111111* L214866 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L214954 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L215042 1111111111111111111111111111111111111111111100101111111111111111111111111111111111111111* L215130 1111111111111111111111111111111111111111111100111111110111111111111111111111111111111111* L215218 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L215306 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L215394 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L215482 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L215570 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L215658 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L215746 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L215834 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L215922 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L216010 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L216098 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L216186 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L216274 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L216362 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L216450 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 23 PLA AND array * L216538 10111111111111111111111111111111111111111111111111111111101111111111111111111111* L216618 01111111111111111101111101111111101111111111010111010101111110110101110111111111* L216698 01111111111111111101111101111111101111111111011011010101111110110101111011111111* L216778 01111111111111111110111101111111101111111111011011010101111110110101110111111111* L216858 01111111111111111110111101111111101111111111010111010101111110110101111011111111* L216938 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L217018 11111111111111111111111111111110111110111111111111111111111111111111111111111111* L217098 10111011111111111111111111111111111111111111111111111111111111111111111111111111* L217178 01011101111111111111111101111111101111110111011111010101111110110101111111111111* L217258 01101110111111111111111101111111101111110111011111010101111110110101111111111111* L217338 01111111111111111111111111111111111101111111111101111111111111111111111111111111* L217418 01011110111111111111111101111111101111111011011111010101111110110101111111111111* L217498 01101101111111111111111101111111101111111011011111010101111110110101111111111111* L217578 11111111111111111111111111111111111010111111111111111111111111111111111111111111* L217658 01111111110111111111110101111111101111111111011111010101111110110101111111111111* L217738 01111111111011111111111001111111101111111111011111010101111110110101111111111111* L217818 10111111101111111111111111111111111111111111111111111111111111111111111111111111* L217898 11111111111111101111111111111111111110111111111111111111111111111111111111111111* L217978 10111111111111111011111111111111111111111111111111111111111111111111111111111111* L218058 11111111111111111111111111111111111110101111111111111111111111111111111111111111* L218138 01111111111111111111111111101111111101111111111111111111111111111111111111110111* L218218 01111111111111111111111111011111111101111111111111111111111111111111111111111011* L218298 11111111110111111111111011111111111111111111111111111111111111111111111111111111* L218378 11111111111011111111111111101111111111111111111110111111111111111111111111111111* L218458 11111111111011111111111111011111111111111111111110111111111111111111111111110111* L218538 11111111111111111111111111111111111111111111111111111111111111011111111110111111* L218618 11111111111011111111111111101111111111111111111101111111111111111111111111110111* L218698 11111111111111111111111111111111111111111111111011111111111111111111111011111111* L218778 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L218858 11111111111111111101111111111111111111111111111011111111111111111111111111111111* L218938 11111111111111111101111111111111111111111111111111111111111111111111111011111111* L219018 11101110111111111111111111111111111111111111111111111111111111111111111111111111* L219098 11111110111111111111111111111111111111110111111111111111111111111111111111111111* L219178 11101111111111111111111111111111111111110111111111111111111111111111111111111111* L219258 11111111111110111011111111111111111111111111111111111111111111111111111111111111* L219338 11111111111110110111111111111111111111111111111111111111110111111111111111111111* L219418 11110111111110111111111111111111111111111101111111111111111011111111111111111111* L219498 11110111011111110111111111111111111111111101111111111111111111111111111111111111* L219578 11111111111111111111111111111111111111111111111111111111110111111111101111111111* L219658 11110111111111111111111111111111111111111101111111111111111111111111101111111111* L219738 11111011111111111111111111111111111111111110111111111111111111111111101111111111* L219818 11111111111111111111111111011111111111111111111101111111111111111111111111111111* L219898 11111111111111111111111111111111111111111111111101111111111111111111111111111011* L219978 11111111111111111111111111011111111111111111111111111111111111111111111111111011* L220058 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220138 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220218 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220298 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220378 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220458 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220538 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220618 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220698 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220778 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220858 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L220938 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 23 PLA OR array * L221018 1110111111111111* L221034 1110111111111111* L221050 1110111111111111* L221066 1110111111111111* L221082 1110111111111111* L221098 1111111111111111* L221114 1110111111111111* L221130 1101111111111111* L221146 1101111111111111* L221162 1101111111111111* L221178 1111111111111111* L221194 1101111111111111* L221210 1101111111111111* L221226 1101111111111111* L221242 1011111111111111* L221258 1011111111111111* L221274 1011111111111111* L221290 1011111111111111* L221306 0111111111111111* L221322 0111111111111111* L221338 0111111111111111* L221354 0111111111111111* L221370 1111111111111110* L221386 1111111111111110* L221402 1111111111111110* L221418 1111111111111111* L221434 1111111111111110* L221450 1111111111101111* L221466 1111111111111111* L221482 1111111111101111* L221498 1111111111101111* L221514 1111111111011111* L221530 1111111111011111* L221546 1111111111011111* L221562 1111111111111111* L221578 1111111101111111* L221594 1111111101111111* L221610 1111111111111111* L221626 1111111011111111* L221642 1111111011111111* L221658 1111111011111111* L221674 1111110111111111* L221690 1111110111111111* L221706 1111110111111111* L221722 1111111111111111* L221738 1111111111111111* L221754 1111111111111111* L221770 1111111111111111* L221786 1111111111111111* L221802 1111111111111111* L221818 1111111111111111* L221834 1111111111111111* L221850 1111111111111111* L221866 1111111111111111* L221882 1111111111111111* L221898 1111111111111111* Note Block 23 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L221914 00000011001110000111100110001* L221943 00000011001110000111100110011* L221972 00000011001110000111100110011* L222001 00000011001110000111100110011* L222030 0000011110001100* L222046 0101010011001101* L222062 0000000111001110* L222078 0100010111001011* L222094 0100010111001001* L222110 0000000111001110* L222126 0000000111001100* L222142 0000000111001100* L222158 00000011111111111110100110100* L222187 00000011001001111110100110100* L222216 00000011001110000111100110000* L222245 00000000111111111111100110100* Note Block 24 * Note Block 24 ZIA * L222274 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L222362 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L222450 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L222538 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L222626 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L222714 1111111111110111111101111111111111111111111101111111111111111111111111111111111111111111* L222802 1111111111111011111101111111111111111111111101111111111111111111111111111111111111111111* L222890 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L222978 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L223066 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L223154 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L223242 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L223330 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L223418 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L223506 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L223594 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L223682 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L223770 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L223858 1111111111111111111001111111111111111111111101111111111111111111111111111111111111111111* L223946 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L224034 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L224122 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L224210 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L224298 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L224386 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L224474 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L224562 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L224650 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111010* L224738 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L224826 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L224914 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L225002 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L225090 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L225178 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L225266 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L225354 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L225442 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L225530 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L225618 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L225706 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* Note Block 24 PLA AND array * L225794 11111111111111011111111111111110111111111111111101111111111111111111111111111111* L225874 11111111111101111111111011111111111011111111111111111111111111111111111111111111* L225954 01011111111111111111111111111111111101111111010111110101111111110111111110100101* L226034 01101111111111111111111111111111111110111111010111110101111111110111111110100101* L226114 10111111111011111111111111111111111111111111111111111111111111111111111111111111* L226194 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L226274 11111111111111111110111110111111111111111111111111111111111111111111111111111111* L226354 11111111111111111111111111111111111111111111111111111111111111111111011111111111* L226434 11111111100111111111111101011111101111101010111111101111011111101111111111111111* L226514 11111111011011111111111101011111101111101010111111101111011111101111111111111111* L226594 11110110111111111111111111111111111111111111111111111111111011111111111111111111* L226674 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L226754 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L226834 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L226914 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L226994 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227074 11111111111111011111111111111101111111111111111101111111111111111111111111111111* L227154 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227234 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227314 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227394 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227474 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227554 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227634 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227714 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227794 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227874 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L227954 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228034 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228114 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228194 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228274 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228354 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228434 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228514 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228594 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228674 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228754 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228834 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228914 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L228994 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229074 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229154 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229234 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229314 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229394 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229474 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229554 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229634 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229714 11111111111111111111111111111111111111111111111111111111111101111111111111111111* L229794 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229874 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L229954 11111111111111111111111111110111111111111111111111111111111111111111111111111111* L230034 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L230114 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L230194 11111111111111110111111111111111111111111111111111111111111111111111111111111111* Note Block 24 PLA OR array * L230274 1011111111111111* L230290 1011111111111111* L230306 1110111111111111* L230322 1110111111111111* L230338 1110111111111111* L230354 1111111111111111* L230370 1110111111111111* L230386 1111111111111111* L230402 1111111111101111* L230418 1111111111101111* L230434 1111111111101111* L230450 1111111111111111* L230466 1111111111111111* L230482 1111111111111111* L230498 1111111111111111* L230514 1111111111111111* L230530 1111111111111111* L230546 1111111111111111* L230562 1111111111111111* L230578 1111111111111111* L230594 1111111111111111* L230610 1111111111111111* L230626 1111111111111111* L230642 1111111111111111* L230658 1111111111111111* L230674 1111111111111111* L230690 1111111111111111* L230706 1111111111111111* L230722 1111111111111111* L230738 1111111111111111* L230754 1111111111111111* L230770 1111111111111111* L230786 1111111111111111* L230802 1111111111111111* L230818 1111111111111111* L230834 1111111111111111* L230850 1111111111111111* L230866 1111111111111111* L230882 1111111111111111* L230898 1111111111111111* L230914 1111111111111111* L230930 1111111111111111* L230946 1111111111111111* L230962 1111111111111111* L230978 1111111111111111* L230994 1111111111111111* L231010 1111111111111111* L231026 1111111111111111* L231042 1111111111111111* L231058 1111111111111111* L231074 1111111111111111* L231090 1111111111111111* L231106 1111111111111111* L231122 1111111111111111* L231138 1111111111111111* L231154 1111111111111111* Note Block 24 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L231170 00000011111111111110100110100* L231199 01000010001110000111000010000* L231228 00000011001110000111100110010* L231257 00000011001110000111100110011* L231286 0000011110001100* L231302 0000011110001100* L231318 0000011110001100* L231334 0000011110001100* L231350 0000011110001100* L231366 0000011110001100* L231382 0000011110001100* L231398 0100010111101000* L231414 00000011001110000111100110000* L231443 01000011001001000111000010110* L231472 01000011001001000111000010110* L231501 01000011001001000111000010110* Note Block 25 * Note Block 25 ZIA * L231530 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L231618 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L231706 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L231794 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L231882 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L231970 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L232058 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L232146 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L232234 1111111111111111111110111110111111111111111101111111111111111111111111111111111111111111* L232322 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L232410 0011111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L232498 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L232586 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L232674 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L232762 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L232850 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L232938 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L233026 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L233114 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L233202 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L233290 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L233378 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L233466 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L233554 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L233642 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L233730 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L233818 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L233906 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L233994 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L234082 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L234170 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L234258 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L234346 1111111111111111111111111111111111111111111100011111111111111111111111111111111111111111* L234434 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L234522 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L234610 1111111111111111111111111111111111111111111101111111111111111111111111111111111011111110* L234698 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L234786 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L234874 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L234962 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* Note Block 25 PLA AND array * L235050 11111111111111111111111111111111111111111111111111111111111111111111111111111011* L235130 11111111111111111111101111111111111111111111111111111101111111110111111111111111* L235210 11111111111111111111111111111011111111111111111111111111111111111111111111011111* L235290 11111111111111011101111111111111111111111111111111111111111111111111111111111111* L235370 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L235450 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L235530 11111111111111101110111111111111111111111111111111111111111111111111111111111111* L235610 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L235690 11111011111111101111111111111111111111111111111111111111111111111111111111111111* L235770 11110111111111011101111111111111111111111111111111111111111111111111111111111111* L235850 11111111111111111111111111111110111111111111111111111111111110111111111111111111* L235930 11111011111111111110111111111111111111111111111111111111111111111111111111111111* L236010 11111111111111111111110111111011111111111111111111111111111111111111111111011111* L236090 11111111111111111111111111111111111111111111111111111111011111111111111101111111* L236170 11110111111111011101111111110111111111111111111111111111111111111111111111111111* L236250 11110111111111011101111111111111111111111111111111111111111111111111111111101111* L236330 11111111111110111111111111111111111111111111111111111111111111111111111111111111* L236410 11111111111111111110111111010111111111111111111111111111111111111111111111111111* L236490 11111111111111111110111111011111111111111111111111111111111111111111111111101111* L236570 01111111111111111110111101110111110111111111011111111111111111111111111111111111* L236650 01111111111111111110111101111111110111111111011111111111111111111111111111101111* L236730 11111110111111111111111111110111111111110111111111111111111111111111111111111111* L236810 11111101111111111111111111111111011101111101111111111111111111111111111111111111* L236890 11011110111111111111111111110111111111111111111111010111110111111111111111011111* L236970 11111110111111111111111111111111111111110111111111111111111111111111111111101111* L237050 11011111111111111111111111111111111111110111111111010111110111111111111111011111* L237130 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237210 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237290 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237370 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237450 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237530 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237610 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237690 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237770 11111111111111111111111011111111111111111111111111111111111111111111111111111111* L237850 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L237930 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238010 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238090 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238170 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238250 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238330 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238410 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238490 11111111111110111111111111111111111111111111111111111111111111101111110111111111* L238570 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238650 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238730 11111111101111111111111111111111111111101111111111111111111111111111111111111111* L238810 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238890 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L238970 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239050 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239130 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239210 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239290 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239370 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L239450 11111111111111111111111111111111111111111111111111111111111111111111111111111101* Note Block 25 PLA OR array * L239530 1111111111111011* L239546 1111111111111011* L239562 1111111110011111* L239578 1111111111011111* L239594 1111111111111111* L239610 1111111111111111* L239626 1111111111011111* L239642 1111111111111111* L239658 1111111110111111* L239674 1111111110111111* L239690 1111111111111111* L239706 1111111110111111* L239722 1111111101111111* L239738 1111111111111111* L239754 1111111101111111* L239770 1111111101111111* L239786 1111111111111111* L239802 1111111011111111* L239818 1111111011111111* L239834 1111111011111111* L239850 1111111011111111* L239866 1111110111111111* L239882 1111111111111111* L239898 1111110111111111* L239914 1111110111111111* L239930 1111111111111111* L239946 1111111111111111* L239962 1111111111111111* L239978 1111111111111111* L239994 1111111111111111* L240010 1111111111111111* L240026 1111111111111111* L240042 1111111111111111* L240058 1111111111111111* L240074 1111111111111111* L240090 1111111111111111* L240106 1111111111111111* L240122 1111111111111111* L240138 1111111111111111* L240154 1111111111111111* L240170 1111111111111111* L240186 1111111111111111* L240202 1111111111111111* L240218 1111111111111111* L240234 1111111111111111* L240250 1111111111111111* L240266 1111111111111111* L240282 1111111111111111* L240298 1111111111111111* L240314 1111111111111111* L240330 1111111111111111* L240346 1111111111111111* L240362 1111111111111111* L240378 1111111111111111* L240394 1111111111111111* L240410 1111111111111111* Note Block 25 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L240426 00000011001110000111100110001* L240455 00000011001110000111100110010* L240484 11100010001110000010000110010* L240513 00000011001110000111100110000* L240542 00000000111111111111100110110* L240571 0000000111001110* L240587 0100010111000100* L240603 0100010111000100* L240619 0100010111000101* L240635 0100010111000111* L240651 0100010111000111* L240667 0100010111101010* L240683 00000011001110000111100110010* L240712 00000000001110000111100110011* L240741 00000011001110000111100110000* L240770 01000011001001000111000010110* Note Block 26 * Note Block 26 ZIA * L240799 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L240887 1111111111111111111111111111111111111111111101111111111111111111111011111011111111111111* L240975 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L241063 0111111101111111111111111111111111111111111101111111111111111111111111111111111111111111* L241151 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L241239 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L241327 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L241415 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L241503 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L241591 1111111111111111101101111111111111111111111101111111111111111111111111111111111111111111* L241679 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L241767 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L241855 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L241943 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L242031 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L242119 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L242207 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L242295 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L242383 1111111111111111111111111111111111111111111101111111111110111111110111111111111111111111* L242471 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L242559 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L242647 1111111111111111111111111111111111111101110101111111111111111111111111111111111111111111* L242735 1111111111111111111111111111111111111110110101111111111111111111111111111111111111111111* L242823 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L242911 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L242999 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L243087 1111111111111111111111111111111111111111010101111111111111111111111111111111111111111111* L243175 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L243263 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L243351 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L243439 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L243527 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L243615 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L243703 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L243791 1111111111111111111111111111111111111111111101111111111101111111110111111111111111111111* L243879 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L243967 1111111111111111111110011111111111111111111101111111111111111111111111111111111111111111* L244055 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L244143 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L244231 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 26 PLA AND array * L244319 11111110111111111111111111111111110111111111111101111111111111111111111111111111* L244399 10111011101111111011111110111010111110111011100111111111111111101111101110111111* L244479 11111101111111111111111111111111111111111111111010111011111111111111111111111111* L244559 10111010101111111011111110111010111110111011100111111111111111101111101110111111* L244639 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L244719 11111111111111111111011111101111111111111111111111111111111111111111111111111111* L244799 11111111111111111111101111111111111111111111111111111111111010111111111111111111* L244879 11111111111111111111110111111111111111111111111111111111111111111111111111111111* L244959 11111111111101111111111111111111111111111111111111111111111111111111111111111111* L245039 10111010101111111011011110111010111110101010101111111111111111101111101110111111* L245119 11111111111011111111111111111111101111111111111111111111111111111111111111111111* L245199 11111111111111111101111111111111111111111111111111111111011111111111111111111111* L245279 11111111111111111110111111111111111111111111111111111111101111111111111111111111* L245359 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245439 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245519 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245599 11011111111111111111111111111111111111111111111111111111111111111111111111111111* L245679 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245759 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245839 11111111111111111111111111111111111111111111111111011111111111111111111111111111* L245919 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L245999 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246079 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246159 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246239 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246319 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246399 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246479 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246559 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246639 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246719 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246799 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246879 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L246959 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247039 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247119 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247199 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247279 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247359 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247439 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247519 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247599 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247679 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247759 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247839 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247919 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L247999 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248079 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248159 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248239 11111111111111111111111111111111111111011111110111111111111111111111111111111111* L248319 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248399 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248479 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L248559 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248639 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L248719 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 26 PLA OR array * L248799 1111111111111110* L248815 1111111111111110* L248831 1111111111111110* L248847 1111111111111011* L248863 1111111111110111* L248879 1111111111110111* L248895 1111111111110111* L248911 1111111111111111* L248927 1111111111110111* L248943 1111111111110111* L248959 1111111111111111* L248975 1111111111101111* L248991 1111111111101111* L249007 1111111111111111* L249023 1111111111111111* L249039 1111111111111111* L249055 1111111111111111* L249071 1111111111111111* L249087 1111111111111111* L249103 1111111111111111* L249119 1111111111111111* L249135 1111111111111111* L249151 1111111111111111* L249167 1111111111111111* L249183 1111111111111111* L249199 1111111111111111* L249215 1111111111111111* L249231 1111111111111111* L249247 1111111111111111* L249263 1111111111111111* L249279 1111111111111111* L249295 1111111111111111* L249311 1111111111111111* L249327 1111111111111111* L249343 1111111111111111* L249359 1111111111111111* L249375 1111111111111111* L249391 1111111111111111* L249407 1111111111111111* L249423 1111111111111111* L249439 1111111111111111* L249455 1111111111111111* L249471 1111111111111111* L249487 1111111111111111* L249503 1111111111111111* L249519 1111111111111111* L249535 1111111111111111* L249551 1111111111111111* L249567 1111111111111111* L249583 1111111111111111* L249599 1111111111111111* L249615 1111111111111111* L249631 1111111111111111* L249647 1111111111111111* L249663 1111111111111111* L249679 1111111111111111* Note Block 26 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L249695 00000011001110000111100110001* L249724 00000011111111111110100110100* L249753 01000011001001000111000010110* L249782 01000011001001000111000010110* L249811 0000011110001100* L249827 0000011110001100* L249843 0000011110001100* L249859 0000011110001100* L249875 0000011110001100* L249891 0000011110001100* L249907 0000011110001100* L249923 0000000111001100* L249939 00000000111111111111100110100* L249968 00000000111111111111100110110* L249997 01000011001001000111000010110* L250026 01000010111111111111110010100* Note Block 27 * Note Block 27 ZIA * L250055 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L250143 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L250231 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L250319 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L250407 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L250495 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L250583 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L250671 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L250759 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L250847 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L250935 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L251023 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L251111 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L251199 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L251287 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L251375 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L251463 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L251551 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L251639 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L251727 1111111111110111111101111111111111111111111101111111111111111111111111111111111111111111* L251815 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L251903 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L251991 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L252079 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L252167 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L252255 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L252343 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L252431 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L252519 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L252607 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L252695 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L252783 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L252871 1111111111111111111111111111111111111111111101111111111111111111111011110111111111111111* L252959 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L253047 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L253135 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L253223 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L253311 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L253399 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L253487 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* Note Block 27 PLA AND array * L253575 11100111010101010111110111110111010101101101011110110111010101111111111111110111* L253655 11110111010101010111110101110111010101101101011110110111010101111111111111110111* L253735 11011111111111111111111110111111111111111111111101111111111111111111111111011111* L253815 11110111010101010111110111110111010101101101011110110111010101111111111111100111* L253895 11111101111111111110111101111110111111111011111111101111111111101111010110111111* L253975 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L254055 11111101111111111110111110111110111101111011111111101111111111101111011101111111* L254135 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L254215 11111111111111111111111111111111111101111111111011111111111111111111111111101111* L254295 11111111111111111111110111111111111111011111111010111111011101111111111111101111* L254375 11111111111111111111111111111111111111111111111111111111111111110111111111111111* L254455 11011101111111111110111101111110111111111011111111101111111111101111011110111111* L254535 11111101111111111110111110111110111111111011111111101111111101101111011101111111* L254615 11111111111111111111111111011111111111111111111111111111111111111111111111111111* L254695 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L254775 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L254855 11111111111111111111111111111111111111111111111111111111111111111111111111111101* L254935 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255015 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255095 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255175 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255255 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255335 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255415 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255495 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255575 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255655 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255735 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255815 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255895 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L255975 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256055 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256135 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256215 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256295 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256375 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256455 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256535 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256615 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256695 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256775 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256855 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L256935 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257015 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257095 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257175 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257255 11111111111111111111110111111111111101011111111110111111011101111111111111111111* L257335 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257415 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257495 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257575 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257655 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257735 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257815 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257895 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L257975 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 27 PLA OR array * L258055 1111111111111110* L258071 1111111111111110* L258087 1111111111111110* L258103 1111111111111110* L258119 1111111111111101* L258135 1111111111111111* L258151 1111111111111101* L258167 1111111111111111* L258183 1111111111111101* L258199 1111111111111101* L258215 1111111111111111* L258231 1111111111111011* L258247 1111111111111011* L258263 1111111111111111* L258279 1111111111111111* L258295 1111111111111111* L258311 1111111111111111* L258327 1111111111111111* L258343 1111111111111111* L258359 1111111111111111* L258375 1111111111111111* L258391 1111111111111111* L258407 1111111111111111* L258423 1111111111111111* L258439 1111111111111111* L258455 1111111111111111* L258471 1111111111111111* L258487 1111111111111111* L258503 1111111111111111* L258519 1111111111111111* L258535 1111111111111111* L258551 1111111111111111* L258567 1111111111111111* L258583 1111111111111111* L258599 1111111111111111* L258615 1111111111111111* L258631 1111111111111111* L258647 1111111111111111* L258663 1111111111111111* L258679 1111111111111111* L258695 1111111111111111* L258711 1111111111111111* L258727 1111111111111111* L258743 1111111111111111* L258759 1111111111111111* L258775 1111111111111111* L258791 1111111111111111* L258807 1111111111111111* L258823 1111111111111111* L258839 1111111111111111* L258855 1111111111111111* L258871 1111111111111111* L258887 1111111111111111* L258903 1111111111111111* L258919 1111111111111111* L258935 1111111111111111* Note Block 27 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L258951 01000011001001000111000010110* L258980 01000011001001000111000010110* L259009 01000011001001000111000010110* L259038 00000011111111111110100110100* L259067 00000011111111111110100110100* L259096 0000011110001100* L259112 0000011110001100* L259128 0000011110001100* L259144 0000011110001100* L259160 0000011110001100* L259176 0000011110001100* L259192 0000011110001100* L259208 00000000111111111111100110110* L259237 00000000111111111111100110100* L259266 01000010111111111111100100100* L259295 01000010111111111111110010100* Note Block 28 * Note Block 28 ZIA * L259324 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L259412 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L259500 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L259588 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L259676 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L259764 1111111111110111111101111111111111111111111101111111111111111111111111111111111111111111* L259852 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L259940 1111111111111111111110101111111111111111111101111111111111111111111111111111111111111111* L260028 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L260116 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L260204 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L260292 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L260380 0111110111111111111111111111111111111111111101111111111111111111111111111111111111111111* L260468 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L260556 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L260644 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L260732 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L260820 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L260908 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L260996 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L261084 1111111111111111111111111111111111111111111100111111011111111111111111111111111111111111* L261172 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L261260 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L261348 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L261436 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L261524 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L261612 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L261700 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L261788 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L261876 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L261964 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L262052 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L262140 1111111111111111111111111111111111111111111101111111111111111111111011111111101111111111* L262228 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L262316 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L262404 1111111111111111111111111111111111111111111101111111111111111111111111111111111111011110* L262492 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L262580 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L262668 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L262756 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* Note Block 28 PLA AND array * L262844 11111111111111111111110111111111111111111011111111111111111111111111111111011111* L262924 11111111110111111111111111111111111111111011111110111111011111111111111111101111* L263004 10111101111111111111111111111111111111111111111111111111111111111111111111011111* L263084 10111111110111111111110111111111111111111111111110111111011111111111111111101111* L263164 11101011111111111110111111011110111001111111110111111111111111101111111111110111* L263244 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L263324 11011011111111111110111111011110111001111111111111111111111111101111011111111011* L263404 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L263484 11111111111111111111111111111111111111111111111111111111111110111111011111101111* L263564 11111111111101111111111111111111111111111111111111110111111110111111111111101111* L263644 11101011111111111110111111011110111001111111111111111111111111101111110111110111* L263724 11011011111111111110111101011110111001111111111111111111111111101111111111111011* L263804 11111111111110111111111101111111111111111111111111111111111111111111111111101111* L263884 11111111111110011111111111110111011111111111111111111111111111111111111111101111* L263964 11101011111111111110111111011110111001111111111111111111111111100111111111110111* L264044 11011011011111111110111111011110111001111111111111111111111111101111111111111011* L264124 11111111111111111111111111111111111111111111011111111111111111111111111111111111* L264204 11111111011111111111111111111111101111111111111111111111111111111111111111101111* L264284 11111111111111110111111111111111101111111101111111111111110111111111111111101111* L264364 11111111111111111111111111111111111111111111111111011111111111111111111111111111* L264444 11101011111111111110111111011110111001111111111111111111111111101111111111110101* L264524 11011011111111011110111111011110111001111111111111111111111111101111111111111011* L264604 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L264684 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L264764 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L264844 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L264924 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265004 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265084 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265164 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265244 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265324 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265404 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265484 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265564 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265644 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265724 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265804 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265884 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L265964 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266044 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266124 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266204 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266284 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266364 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266444 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266524 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266604 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266684 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266764 11111111111111111111111111111111111111011111111111111111111111111111111111111111* L266844 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L266924 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L267004 10111110111111111111111111111111111111111111111111111111111111111111111111111111* L267084 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L267164 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L267244 11111111111111111111111011111111111111111011111111111111111111111111111111111111* Note Block 28 PLA OR array * L267324 1111111111111110* L267340 1111111111111110* L267356 1111111111111101* L267372 1111111111111101* L267388 1111111111110111* L267404 1111111111111111* L267420 1111111111110111* L267436 1111111111111111* L267452 1111111111110111* L267468 1111111111110111* L267484 1111111111101111* L267500 1111111111101111* L267516 1111111111101111* L267532 1111111111101111* L267548 1111111111011111* L267564 1111111111011111* L267580 1111111111111111* L267596 1111111111011111* L267612 1111111111011111* L267628 1111111111111111* L267644 1111111110111111* L267660 1111111110111111* L267676 1111111111111111* L267692 1111111111111111* L267708 1111111111111111* L267724 1111111111111111* L267740 1111111111111111* L267756 1111111111111111* L267772 1111111111111111* L267788 1111111111111111* L267804 1111111111111111* L267820 1111111111111111* L267836 1111111111111111* L267852 1111111111111111* L267868 1111111111111111* L267884 1111111111111111* L267900 1111111111111111* L267916 1111111111111111* L267932 1111111111111111* L267948 1111111111111111* L267964 1111111111111111* L267980 1111111111111111* L267996 1111111111111111* L268012 1111111111111111* L268028 1111111111111111* L268044 1111111111111111* L268060 1111111111111111* L268076 1111111111111111* L268092 1111111111111111* L268108 1111111111111111* L268124 1111111111111111* L268140 1111111111111111* L268156 1111111111111111* L268172 1111111111111111* L268188 1111111111111111* L268204 1111111111111111* Note Block 28 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L268220 00000011111111111110100110100* L268249 00000011111111111110100110100* L268278 01000011001001000111000010110* L268307 01000011001001000111000010110* L268336 0000011110001100* L268352 0000011110001100* L268368 0000011110001100* L268384 0000011110001100* L268400 0000011110001100* L268416 0000000111001100* L268432 0100010111001000* L268448 0100010111001000* L268464 01000010111111111111100100100* L268493 01000011001001000111000010110* L268522 01000010111111111111100100101* L268551 01000010111111111111100100101* Note Block 29 * Note Block 29 ZIA * L268580 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L268668 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L268756 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L268844 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L268932 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L269020 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L269108 1111111111111111111110111111011111111111111101111111111111111111111111111111111111111111* L269196 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L269284 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L269372 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L269460 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L269548 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L269636 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L269724 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L269812 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L269900 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L269988 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L270076 0111101111111111111111111111111111111111111101111111111111111111111111111111111111111111* L270164 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L270252 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L270340 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L270428 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L270516 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L270604 0111111011111111111111111111111111111111111101111111111111111111111111111111111111111111* L270692 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L270780 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L270868 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L270956 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L271044 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L271132 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L271220 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L271308 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L271396 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L271484 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L271572 1111111111111111111111111111111111110111110101111111111111111111111111111111111111111111* L271660 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L271748 1111111111111111111111111111111111111111111101111111111111111111111111111111111111110110* L271836 1111111111111111111111111111111111111111111100111111101111111111111111111111111111111111* L271924 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L272012 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* Note Block 29 PLA AND array * L272100 11111101111111101111111111101110111101011111111111100111111111101111111111111011* L272180 10111111111111111101111111111111111111111111111111111111111111111111111111111111* L272260 11111101111111101111111111111110111101011111111111100111111111101111111110111011* L272340 11111111111111111101111111111111111111111111111111111111111111111011111111111111* L272420 11111101111111101111111111111010111101011111111111100111111111101111111111111011* L272500 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L272580 11101111111111111101111111111111111111111111111111111111111111111111111111111111* L272660 11111111111111111111111111111111111111111111111111111111111111111111011111111111* L272740 11111101111111101111111111111110111101011111111110100111111111101111111111111011* L272820 11111111101111111101111111111111111111111111111111111111111111111111111111111111* L272900 11111001111111101111111111111110111101011111111111100111111111101111111111111011* L272980 11111111111111111101111111111111101111111111111111111111111111111111111111111111* L273060 11111101111111101111111111111110111101011111111111100111101111101111111111111011* L273140 11111111111111111111111111111111111111111111111111111111111111111111110111111111* L273220 11111111111011111101111111111111111111111111111111111111111111111111111111111111* L273300 11111101111111101111111101111110111101101111111111101011111111101111111110110111* L273380 11111111111111111111111111111111111111110111111111111111111111111111111111111111* L273460 11111101111111101111111111111110111101101111011111101011111111101111111110110111* L273540 11111101111111101111111101111110111101011111101111100111111111101111111111111011* L273620 11111111111111111111111111111111111111111111111111111111111111111111111111111101* L273700 11111101111111101111111110111110111101101111101111101011111111101111111101110111* L273780 11111101111111101111111111111110111101101111111101100111111111101111111111111011* L273860 11111101111111101111111111111110110101011111111111101011111111101111111111111011* L273940 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L274020 11111111111111111111111111111111110111111111111111111110111111111111111111101111* L274100 11111111111111111111110111111111111111111111110111111110110111111111111111101111* L274180 11111101111111101111111111011110111101101111111111100111111111101111111111111011* L274260 11111101111101101111111111111110111101011111111111101011111111101111111111111011* L274340 11111111111111111111111110111111111111111111101111111111111111111111111111111111* L274420 11111101111111101111111111111110111101101111111111100111011111101111111111111011* L274500 11111101111111101111111111111110111101011101111111101011111111101111111111111011* L274580 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L274660 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L274740 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L274820 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L274900 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L274980 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275060 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275140 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275220 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275300 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275380 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275460 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275540 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275620 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275700 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275780 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275860 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L275940 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276020 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276100 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276180 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276260 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276340 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276420 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L276500 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 29 PLA OR array * L276580 1111111111111101* L276596 1111111111111101* L276612 1111111111101111* L276628 1111111111101111* L276644 1111111111011111* L276660 1111111111111111* L276676 1111111111011111* L276692 1111111111111111* L276708 1111111110111111* L276724 1111111110111111* L276740 1111111101111111* L276756 1111111101111111* L276772 1111111011111111* L276788 1111111111111111* L276804 1111111011111111* L276820 1111110111111111* L276836 1111111111111111* L276852 1111110111111111* L276868 1111110111111111* L276884 1111111111111111* L276900 1111110111111111* L276916 1111101111111111* L276932 1111101111111111* L276948 1111111111111111* L276964 1111101111111111* L276980 1111101111111111* L276996 1111011111111111* L277012 1111011111111111* L277028 1111111111111111* L277044 0111111111111111* L277060 0111111111111111* L277076 1111111111111111* L277092 1111111111111111* L277108 1111111111111111* L277124 1111111111111111* L277140 1111111111111111* L277156 1111111111111111* L277172 1111111111111111* L277188 1111111111111111* L277204 1111111111111111* L277220 1111111111111111* L277236 1111111111111111* L277252 1111111111111111* L277268 1111111111111111* L277284 1111111111111111* L277300 1111111111111111* L277316 1111111111111111* L277332 1111111111111111* L277348 1111111111111111* L277364 1111111111111111* L277380 1111111111111111* L277396 1111111111111111* L277412 1111111111111111* L277428 1111111111111111* L277444 1111111111111111* L277460 1111111111111111* Note Block 29 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L277476 00000000111111111111100110100* L277505 01000011001001000111000010110* L277534 01000011001001000111000010110* L277563 01000011001001000111000010110* L277592 00000000111111111111100110100* L277621 0100010111000000* L277637 0100010111001001* L277653 0100010111001011* L277669 0100010111001011* L277685 0100010111001011* L277701 0100010111001011* L277717 0100010111001011* L277733 00000011111111111110100110100* L277762 00000011111111111110100110100* L277791 01000010111111111111100100111* L277820 00000011111111111110100110100* Note Block 30 * Note Block 30 ZIA * L277849 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L277937 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L278025 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L278113 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L278201 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L278289 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L278377 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L278465 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L278553 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L278641 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L278729 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L278817 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L278905 1111111111111101111101111111111111111111111101111111111111111111111111111111111111111111* L278993 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L279081 1111111111111111111110110111111111111111111101111111111111111111111111111111111111111111* L279169 1111111111011111111101111111111111111111111101111111111111111111111111111111111111111111* L279257 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L279345 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L279433 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L279521 1111111111111111111111111111111111111011110101111111111111111111111111111111111111111111* L279609 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L279697 1111111111111111111111111111111111111111111101111111111111111111111111111111111110111110* L279785 1111111111111111111111111111111111111111111101111111111111111111111010111111111111111111* L279873 1111111111111111111111111111111111111111111100111110111111111111111111111111111111111111* L279961 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L280049 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L280137 1111111111111111111111111111111111111111111101111111111111111111111011011111111111111111* L280225 1111111111111111111111111111111111111111111101111111111111111111111111111111111101111110* L280313 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L280401 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L280489 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L280577 1111111111111111111111111111111111111111111101111111111111111111111111111111111111101110* L280665 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L280753 1111111111111111111111111111111111111111111101111111111111111111111111111111111111111100* L280841 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L280929 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L281017 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L281105 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L281193 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L281281 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* Note Block 30 PLA AND array * L281369 11111111111111111111111111111111101101111010101111100101110111101111111111111111* L281449 11111111111111111111111111110111101101111010011111101001111111101111111111111111* L281529 11111111111111111111110111111111101101111010101111100101111111101111111111111111* L281609 11111111111111111111111101111111101101111010011111101001111111101111111111111111* L281689 11111111111111111111111111111111111111111111111111111111111111111101111111111111* L281769 11011111111111111111111111111111101101111010101111101001111111011111111111111111* L281849 11011111111111111111111111111111101101111010011111101001111111101111111111111111* L281929 11111111111111111111111111111111111111111111110111111111111111111111111111111111* L282009 11111111111110111111111111011111111111111111111111111111111111111111111111111111* L282089 11111111111101111111111111101111111111111111111111111111111111111111111111111111* L282169 11111111101111110111111111111111111111111111111111111111111111111111111111111111* L282249 11111111011111111011111111111111111111111111111111111111111111111111111111111111* L282329 01111111111111111111111111111110111111111111111111111111111111111111111111111111* L282409 10111111111111111111111111111101111111111111111111111111111111111111111111111111* L282489 11111011111111111111111111111111111111111111111111111111111101111111111111111111* L282569 11110111111111111111111111111111111111111111111111111111111110111111111111111111* L282649 11111101111111111111101111111111111111111111111111111111111111111111111111111111* L282729 11111110111111111111011111111111111111111111111111111111111111111111111111111111* L282809 11111111111111011111111111111111111011111111111111111111111111111111111111111111* L282889 11111111111111101111111111111111110111111111111111111111111111111111111111111111* L282969 11111111111111111110111111111111111111111111111111111111111111111111111111111101* L283049 11111111111111111101111111111111111111111111111111111111111111111111111111111110* L283129 11111111110111111111111111111111111111101111111111111111111111111111111111111111* L283209 11111111111011111111111111111111111111011111111111111111111111111111111111111111* L283289 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283369 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283449 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283529 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283609 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283689 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283769 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283849 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L283929 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284009 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284089 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284169 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284249 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284329 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284409 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284489 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284569 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284649 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284729 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284809 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284889 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L284969 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285049 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285129 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285209 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285289 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285369 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285449 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285529 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285609 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285689 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L285769 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 30 PLA OR array * L285849 1111111111111101* L285865 1111111111111101* L285881 1111111111111011* L285897 1111111111111011* L285913 1111111111100111* L285929 1111111111110111* L285945 1111111111101111* L285961 1111111111101111* L285977 1111111111011111* L285993 1111111111011111* L286009 1111111111011111* L286025 1111111111011111* L286041 1111111111011111* L286057 1111111111011111* L286073 1111111111011111* L286089 1111111111011111* L286105 1111111111011111* L286121 1111111111011111* L286137 1111111111011111* L286153 1111111111011111* L286169 1111111111011111* L286185 1111111111011111* L286201 1111111111011111* L286217 1111111111011111* L286233 1111111111111111* L286249 1111111111111111* L286265 1111111111111111* L286281 1111111111111111* L286297 1111111111111111* L286313 1111111111111111* L286329 1111111111111111* L286345 1111111111111111* L286361 1111111111111111* L286377 1111111111111111* L286393 1111111111111111* L286409 1111111111111111* L286425 1111111111111111* L286441 1111111111111111* L286457 1111111111111111* L286473 1111111111111111* L286489 1111111111111111* L286505 1111111111111111* L286521 1111111111111111* L286537 1111111111111111* L286553 1111111111111111* L286569 1111111111111111* L286585 1111111111111111* L286601 1111111111111111* L286617 1111111111111111* L286633 1111111111111111* L286649 1111111111111111* L286665 1111111111111111* L286681 1111111111111111* L286697 1111111111111111* L286713 1111111111111111* L286729 1111111111111111* Note Block 30 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L286745 00000011001110000111100110000* L286774 00000011111111111110100110100* L286803 00000011001110000111100110000* L286832 00000011001110000111100110000* L286861 0000011110001100* L286877 0000011110001100* L286893 0000011110001100* L286909 0000011110001100* L286925 0000011110001100* L286941 0000011110001100* L286957 0000000111001100* L286973 0000000111001100* L286989 00000000111111111111100110100* L287018 00000000111111111111100110100* L287047 00000000111111111111100110100* L287076 00000011001110000111100110000* Note Block 31 * Note Block 31 ZIA * L287105 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L287193 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L287281 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L287369 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L287457 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L287545 0101111111111111111111111111111111111111111101111111111111111111111111111111111111111111* L287633 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L287721 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L287809 1111111111111111111110111111111011111111111101111111111111111111111111111111111111111111* L287897 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L287985 0111011111111111111111111111111111111111111101111111111111111111111111111111111111111111* L288073 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L288161 1111111111111111111111111111111111101111110101111111111111111111111111111111111111111111* L288249 1111111111101111111101111111111111111111111101111111111111111111111111111111111111111111* L288337 1111111111111111111111111111111110111111110101111111111111111111111111111111111111111111* L288425 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L288513 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L288601 1111111111111111111111111111111111111111100101111111111111111111111111111111111111111111* L288689 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L288777 1111111111111111111111111111111111011111110101111111111111111111111111111111111111111111* L288865 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L288953 1111111111111111111111111111111101111111110101111111111111111111111111111111111111111111* L289041 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289129 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289217 1111111111111111111111111111111111111111111100111101111111111111111111111111111111111111* L289305 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289393 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289481 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289569 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289657 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L289745 1111111111111111111111111111111111111111111100110111111111111111111111111111111111111111* L289833 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L289921 1111111111111111111111111111111111111111111100111011111111111111111111111111111111111111* L290009 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290097 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290185 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290273 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290361 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290449 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* L290537 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 31 PLA AND array * L290625 11111111111111111111111111111111111111111111111110111111111011111111111111111111* L290705 11111111111111111011110111111111111111111111111111111111111111111111111111111111* L290785 11111111111111110111111011111111111111111111111111111111111111111111111111111111* L290865 10111111111111111101111111111111111111111111111111111111111111111111111111111111* L290945 01111111111111111110111111111111111111111111111111111111111111111111111111111111* L291025 11111011111111111111111111111111111111111111111111111111111111110111111111111111* L291105 11110111111111111111111111111111111111111111111111111111111111111011111111111111* L291185 11111111111111111111111111101111110111111111111111111111111111111111111111111111* L291265 11111111111111111111111111011111111011111111111111111111111111111111111111111111* L291345 11111111111111101111111111111111111111011111111111111111111111111111111111111111* L291425 11111111111111011111111111111111111111101111111111111111111111111111111111111111* L291505 11111111111111111111111111111111111111111110111111111111111101111111111111111111* L291585 11111111111111111111111111111111111111111101111111111111111110111111111111111111* L291665 11111111011111111111111111111111111110111111111111111111111111111111111111111111* L291745 11111111101111111111111111111111111101111111111111111111111111111111111111111111* L291825 11111111111111111111011111111111101111111111111111111111111111111111111111111111* L291905 11111111111111111111101111111111011111111111111111111111111111111111111111111111* L291985 11111111111101111111111111111011111111111111111111111111111111111111111111111111* L292065 11111111111110111111111111110111111111111111111111111111111111111111111111111111* L292145 11101111111111111111111101111111111111111111111111111111111111111111111111111111* L292225 11011111111111111111111110111111111111111111111111111111111111111111111111111111* L292305 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L292385 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292465 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292545 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292625 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292705 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292785 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292865 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L292945 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293025 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293105 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293185 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293265 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293345 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293425 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293505 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293585 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293665 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293745 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293825 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293905 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L293985 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294065 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294145 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294225 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294305 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294385 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294465 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294545 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294625 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294705 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294785 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294865 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L294945 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L295025 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 31 PLA OR array * L295105 1111111111111110* L295121 1111111111111110* L295137 1111111111111110* L295153 1111111111111110* L295169 1111111111111110* L295185 1111111111111110* L295201 1111111111111110* L295217 1111111111111110* L295233 1111111111111110* L295249 1111111111111110* L295265 1111111111111110* L295281 1111111111111110* L295297 1111111111111110* L295313 1111111111111110* L295329 1111111111111110* L295345 1111111111111110* L295361 1111111111111110* L295377 1111111111111110* L295393 1111111111111110* L295409 1111111111111110* L295425 1111111111111110* L295441 1111111111111110* L295457 1111111111111111* L295473 1111111111111111* L295489 1111111111111111* L295505 1111111111111111* L295521 1111111111111111* L295537 1111111111111111* L295553 1111111111111111* L295569 1111111111111111* L295585 1111111111111111* L295601 1111111111111111* L295617 1111111111111111* L295633 1111111111111111* L295649 1111111111111111* L295665 1111111111111111* L295681 1111111111111111* L295697 1111111111111111* L295713 1111111111111111* L295729 1111111111111111* L295745 1111111111111111* L295761 1111111111111111* L295777 1111111111111111* L295793 1111111111111111* L295809 1111111111111111* L295825 1111111111111111* L295841 1111111111111111* L295857 1111111111111111* L295873 1111111111111111* L295889 1111111111111111* L295905 1111111111111111* L295921 1111111111111111* L295937 1111111111111111* L295953 1111111111111111* L295969 1111111111111111* L295985 1111111111111111* Note Block 31 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L296001 00000011111111111110100110100* L296030 00000011111111111110100110100* L296059 00000011111111111110100110100* L296088 00000011111111111110100110100* L296117 00000011111111111110100110100* L296146 0000011110001100* L296162 0000011110001100* L296178 0000011110001100* L296194 0000011110001100* L296210 0000011110001100* L296226 0000011110001100* L296242 0000011110001100* L296258 00000011111111111110100110100* L296287 00000011111111111110100110100* L296316 00000011111111111110100110100* L296345 00000000111111111111100110100* Note Globals * Note Global Clock Mux * L296374 110* Note Programmable Clock Divider * L296377 1111* Note Programmable Clock Delay * L296381 1* Note Global Set/Reset Mux * L296382 11* Note Global OE Mux * L296384 01111101* Note Global Termination * L296392 0* Note Data Gate Enable * L296393 1* Note Input Voltage Standard for IOB * L296394 0000* Note Output Voltage Standard for IOB * L296398 0000* Note VREF enable * L296402 1* C9E1E* B6CB